1

Dydis: px
Rodyti nuo puslapio:

Download "1"

Transkriptas

1 KAUNO TECHNOLOGIJOS UNIVERSITETAS INFORMATIKOS FAKULTETAS VERSLO INFORMATIKOS KATEDRA Vaida Kašubienė MAZUTO PERKROVIMO PROCESO NAFTOS TERMINALE IMITACINIS MODELIS Magistro darbas Darbo vadovas : Dr. V.Pilkauskas Kaunas 2004

2 TURINYS 1. ĮVADAS JŪROS UOSTŲ IMITACINIŲ MODELIŲ SUDARYMAS INFORMACINĖSE SISTEMOSE Specializuoti imitacinio modeliavimo paketai Uosto funkcinė aplinka IT ir programų sistemų inžinerijos planas PPS modelis Modeliai, realizuoti panaudojant diskrečių įvykių formalias specifikacijas Formalus sistemos specifikavimas Atkarpomis tiesinis agregatas Objektiškai orientuotos modeliavimo sistemos biblioteka Paketas Pranas Imitacinio modeliavimo paketas AgDraw panaudojimas imitacinio modelio programinės realizacijos sudarymui Programinės įrangos panaudojimo atvejai Programinės įrangos komponentės MAZUTO PERKROVIMO PROCESO NAFTOS TERMINALE IMITACINIO MODELIO SUDARYMAS Mazuto perkrovimo proceso konceptualinis modelis Mazuto perkrovimo proceso matematinis modelis Agregatinė schema Traukinio agregatas Stoties agregatas Valdymo agregatas Tanklaivio agregatas Bazės agregatas Imitacinio modelio programinė realizacija Imitacinio modelio agregatinės sujungimo schemos sudarymas Imitacinio modelio programinės realizacijos klasių modelio sudarymas Imitacinio modelio programinio kodo sudarymas Mazuto perkrovimo proceso imitacinio modeliavimo rezultatai VARTOTOJO DOKUMENTACIJA Mazuto perkrovimo proceso imitacinio modeliavimo sistemos funkcinis aprašymas Mazuto perkrovimo proceso imitacinio modeliavimo sistemos vartotojai Mazuto perkrovimo proceso imitacinio modeliavimo sistemos darbo aplinka Mazuto perkrovimo proceso imitacinio modeliavimo sistemos realizavimo apribojimai Mazuto perkrovimo proceso imitacinio modeliavimo sistemos techninės įrangos reikalavimai Mazuto perkrovimo proceso imitacinio modeliavimo sistemos diegimas Mazuto perkrovimo proceso imitacinio modeliavimo sistemos programos vykdymas Mazuto perkrovimo proceso imitacinio modeliavimo sistemos testavimo dokumentas Mazuto perkrovimo proceso imitacinio modelio instaliavimas Mazuto perkrovimo proceso imitacinio modelio paleidimas IŠVADOS IR GAUTI REZULTATAI LITERATŪROS SĄRAŠAS

3 7. SUMMARY TERMINŲ IR SANTRUPŲ ŽODYNAS PRIEDAI Imitacinio modelio programos kodas Eksperimentas programos kodas Modelis programos kodas Traukinys programos kodas Stotis programos kodas Valdymas programos kodas Baze programos kodas Tanklaivis programos kodas Ispilimas programos kodas Imitacinio modeliavimo rezultatų XSL transformacijos aprašymas

4 ĮVADAS Dažniausiai kroviniai gabenami geležinkeliu bei vandens transportu. Tobulinant ūkio struktūrą, diegiant pažangias technologijas, uosto organizavimas ir valdymas tiesiogiai įtakoja uosto našumą ir sąlygas, kuriomis, krovinio aptarnavimas, atliekamas. Daugeliu atvejų ši įtaka yra pabrėžiama laiko tarpu, per kurį atliekami procesai [1]. Baigiamojo darbo tikslas sudaryti mazuto perkrovimo proceso, naftos terminale, imitacinį modelį, kuris leistų modeliuoti naftos produktų priėmimą geležinkeliu į naftos terminalą. Naftos terminalas skirtas mazuto, atvežto geležinkeliu kaupimui ir perkrovimui į tankerius. Modelis projektuojamas remiantis formaliu sistemos specifikavimu, panaudojus agregatinį metodą. Agregatinis požiūris yra sėkmingai taikomas formaliam specifikavimui, teisingumo tikrinimui ir įvairių rūšies sistemų modeliavimui. Tačiau šiuolaikinės programinės įrangos kūrimo tendencijos orientuojasi į objektinį kūrimo procesą. Tam būtina pasitelkti papildomas objektines konstrukcijas, kuriomis galima sėkmingai rengti agregatinį aprašą. Šio uždavinio sprendimui naudojamas JPranas paketas. Mazuto perkrovimo proceso agregatinė schema, sudaryta iš atitinkamų agregatų: 1. Traukinio agregatas realizuoja traukinių atvykimą į geležinkelio stotį. 2. Stoties agregatas realizuoja atvykstančių traukinių priėmimą geležinkelio stotyje. 3. Valdymo agregatas realizuoja vagonų paskirstymą į naftos produktų perpylimo estakadas. 4. Bazės agregatas realizuoja rezervuarų užpildymą naftos produktais. 5. Tanklaivio agregatas realizuoja naftos produktų pakrovimą į tanklaivius. Buvo panaudota programa Agregatų Braižyklė, kuri leidžia grafiniu būdu nusibraižyti sistemos diagramas ir iš jų susigeneruoti modeliuojamos sistemos imituojamą programą. Pateikti imitacinio modelio programinės realizacijos klasių modeliai. Imitacinis modelis buvo detalizuojamas Java programavimo kalba. Atlikta analizė, kurios metu buvo atlikti imitacinio modelio eksperimentai, optimalių sprendimų radimui. Perpilant mazutą iš geležinkelio vagonų į rezervuarus, lauko temperatūra turi labai didelę įtaką, nes mazutas, priklausomai nuo esamo jame sieros kiekio, tirštėja jau esant vidutiniškai +20ºC temperatūrai. Esant žemesnei lauko temperatūrai, ilgiau pastovėjusius traukinių vagonus geležinkelio stotyje, tenka šildyti ir tik tada perpilti į rezervuarus. Vagonų šildymas brangiai kainuoja ir padidina naftos terminalo mazuto perkrovimo išlaidas. Todėl yra 4

5 labai svarbu naftos terminale priimti tiek mazuto vagonų, kiek bus spėjama iškrauti, kad nesusidarytų eilės ir neatvėstų mazutas žemiau +20ºC temperatūros. Sukurtas modelis leidžia keisti tokius modelio parametrus: 1. Traukinių atvykimo į geležinkelio stotį intensyvumą. 2. Lauko temperatūrą. Modeliavimo metu buvo skaičiuojamos gaunamos pajamos už mazuto perkrovimą, bei naftos terminalo išlaidos mazutui perkrauti. Su sukurtu imitaciniu modeliu buvo atlikti eksperimentai, kurių metu pateiktos pajamų, išlaidų ir pelno grafinės išraiškos, kurios parodo traukinių priėmimo traukinių stotyje intensyvumą, atsižvelgiant į esamą lauko temperatūrą. Baigiamojo darbo metodika: mokslinės literatūros analizė, jos apibendrinimas ir pateikimas darbe; praktikos metu sukauptų duomenų panaudojimas. Pateiktos išvados ir gauti rezultatai: nustatyta, kokiu intensyvumu gali būti priimami traukiniai, atvykę į geležinkelio stotį, perkrauti mazutą, priklausomai nuo esamos lauko temperatūros, kad naftos terminalo darbas būtų pelningas. 5

6 2. JŪROS UOSTŲ IMITACINIŲ MODELIŲ SUDARYMAS INFORMACINĖSE SISTEMOSE 2. 1 Specializuoti imitacinio modeliavimo paketai Uosto proceso modeliavimo sistemos (Port Process Simulator, toliau PPS) idėja vientisa, konfigūruojama pagal naudotojo poreikius, programų sistema, galinti modeliuoti uostą ir jo procesus [2]. Tame tarpe suprastruktūros, infrastruktūros, akvastruktūros, įrangos ir žemės transporto priemonių. Sistemos inicializacijai panaudojus uosto prieigų ir jo geografinio išdėstymo grafines bylas, modeliavimo įrenginio programinė įranga gali būti pritaikyta kiekvienam uostui. Ši specifinė visa uosto informacija susijusi su laivais, žemės transportu ir kroviniais. Pradinių duomenų įvedimas PPS leidžia emuliuoti uostą tyrimų procese. Įvedimo duomenys fokusuojami šešiose srityse. Tai visiškai apibendrina ir adaptuoja modeliavimo įrenginio programinę įrangą bei išsamiai pavaizduoja uosto specifiką. Norint sukurti dominančio uosto modelį, duomenys į modeliavimo įrenginį įvedami tokia tvarka: Krovinių srautai. Transportavimo režimai. Transportavimo priemonės. Uosto išdėstymas. Krovinių paskirstymo infrastruktūra. Uostą reguliuojanti aplinka. Kaskadinių meniu sistema naudojama parinkimui ir įvedimui krovinių, praeinančių per uostą, kartu susiejant juos su transportavimo charakteristikomis. Pritaikymas pagal poreikius prasideda kuriant tokią seką: prieigos iš jūros, geografinis uosto išdėstymas ir žemės prieigos prie uosto. Tada įvedama informacija apie įrangą ir transporto priemones, kurios reikalingos užtikrinti iš anksto pasirinktą našumo lygį. Kuriant specifinio uosto modelį iš pasirenkamų elementų kaskadinių meniu sistemos pagalba, modeliavimo įrenginys pastoviai tikrina ar tinkama įranga, statiniai ir transporto priemonės parinkti pagal užduoties lygį. Išvestinė informacija kaupiama penkiose bylose, kurios skirtos neapdorotos informacijos paruošimui, kuri po to gali būti naudojama statistinei analizei ir vertinimams MS Excel, MS Access ar kitose programose. 6

7 2.1.1 Uosto funkcinė aplinka Modeliavimo formavimas yra pagrįstas veiksmais ir procedūromis, kuriuos turi atlikti laivas, atvykstantis į uostą. Tai gali būti laivo valdymas, buksyravimas, judėjimas į stovėjimo vietą ir laukimas pirminių nurodymų uosto prieigose, kanale, baseine prisišvartavimo vietoje. Kai tik muitinės, imigracijos, uosto saugumo ir vyresnybės reikalavimai įvykdyti, galima pradėti krovimo darbus. Modeliavimo sistema skirta ne tik sekti atvykstančių laivų judėjimą, bet taip pat ir antžeminio transporto judėjimą. Ji apima krovinių transportavimą į uostą ir iš jo į krašto gilumą. Tai gali būti žemės keliai, vidiniai vandenys, transportavimas pakrante ir trumpi pervežimai jūra. Nors visi veiksmai yra pakankamai bendri, tačiau jų atlikimas konkrečiame uoste yra specifinis. Būtent dėl šios priežasties, modeliavimo sistema PPS reikalauja operatoriaus priežiūros, apimančios vykstančius veiksmus, judėjimo ir laiko analizavimą, laivų įvedimo paskirstymą suvedant sistemos reikalaujamus duomenis. Atsižvelgimas į visus šiuos faktorius specifiniame uoste atskirus proceso etapus derinant su uosto išdėstymo žemėlapiais daro PPS bendrą. Tinkamos nuorodos, uosto organizavimas ir valdymas tiesiogiai įtakoja uosto našumą ir sąlygas, kuriomis, pvz., krovinio aptarnavimas, atliekamas. Daugumoje atvejų ši įtaka yra pabrėžiama laiko tarpu, per kurį atliekami procesai. Dėl minėtų priežasčių modeliavimo sistema turi vartotojo sąsają, kuri įgalina naudotoją bendrauti su sistema ir duoti nurodymus, kurių efektyvumas išreiškiamas laiku, reikalingu atlikti tam tikras operacijas IT ir programų sistemų inžinerijos planas PPS programinė įranga paremta atskirais įvykiais, kurie vyksta laivui atvykstant į uostą ir kroviniams patenkant į krašto gilumą. Tai leidžia padaryti apibendrintą, statinį, tikimybinį modelį su bendru planu, kurį galima pritaikyti taip, kad uostą atspindėtų tam tikromis nagrinėjamomis aplinkybėmis [3]. PPS dizainas susideda iš daugiapakopio duomenų struktūrų pateikimo, programos struktūros, interfeiso (sąsajos įtaiso) charakteristikų ir procedūrinių detalių. PPS architektūrinis dizainas paremtas modulinių programų struktūrų pateikimu. Moduliavimas yra vienintelis programinės įrangos bruožas, leidžiantis ją protingai valdyti. PPS turi 31 modulį. Kiekvienas realizacijos modulių turi savo apibrėžimo modulį. Šis metodas sukuria puikų kontrolinį ryšį tarp modulių. Moduliai funkcionaliai yra nepriklausomi vienas nuo kito. Kad labiau suklasifikuotų modulius, įdiegiamas silpnas surišimas ir stipri kohezija, 7

8 panaudojant horizontalų struktūrinį suskaidymą. Visa tai sudaro tris dalis: įvestį, duomenų transformavimą ir išvestį. Toks horizontalus PPS struktūros padalijimas duoda šią naudą: Lengvas programinės įrangos testavimas; Lengvas modeliavimo įrenginio naudojimas; Jo architektūrą lengva praplėsti. Be šių modulių, PPS turi savo bibliotekos bylą, talpinančią visas diagramas, meniu, paletes, pranešimus ir vaizdinius, reikalingus bendravimui su naudotoju ir animacijai. PPS architektūroje naudojamas labai žemas abstrakcijos lygis. Apsvarstoma menkiausia uosto operacijos detalė ir įdiegiama kaip analitinis, hierarchinis procesas, kuris pasiekė tinklo tikslus, ypač paprastumą. Duomenų dizainas įdiegiamas naudojant Modsim-III savybes, daugiausia statinius ir dinaminius masyvus. Modsim-III užtikrina fiksuotų dydžių masyvų išskyrimą kompiliavimo metu ir palaiko visišką lankstumą išskiriant dinaminius masyvus, kurių reikalauja programuotojas, kad gautų vietą saugojimui. Duomenų struktūros parodomos tik tiems moduliams, kurie tiesiogiai juos naudoja. Pritaikoma duomenų slėpimo ir surišimo koncepcija. Abstrakčių duomenų tipų šablono naudojimas leidžia dirbti su daugelio lygių heterogeniniais masyvais. Vartotojo sąsajos dizainas fokusuojamas trijose srityse, tokiose kaip: Sąsajos dizainas tarp modeliavimo įrenginio modulių; Vartotojo sąsajos dizainas tarp programinės įrangos ir kitų išorinių subjektų (gamintojų ir vartotojų) programinių įrangų; Vartotojo sąsajos dizainas tarp naudotojo ir kompiuterio. Modsim-III palaiko vidinių programos vartotojo sąsajų dizainą, kuris dar gali būti vadinamas tarpmoduliniu vartotojo sąsajos dizainu. Kalba užtikrina priemones stipriam tarpmoduliniam duomenų apsikeitimui. Duomenų srautai PPS moduliuose vyksta tokia pat seka, kaip natūraliai veiksmai vyksta uoste. Išoriniame vartotojo sąsajos dizaine modeliavimo įrenginys turi ryšį su spausdintuvu ir operacine sistema, spausdinimo ir sisteminimo reikmėms PPS modelis Diskretiškas modeliavimo įrenginio veiksmų traktavimas leidžia modeliavimo sistemai tobulėti laiko tėkmėje pritaikant atskirų įvykių pakeitimus. Pavyzdžiui, laivų ir krovinių atvykimas ir išvykimas uoste vyksta tam tikru laiko momentu, kuris nurodomas kaip įvykis. Nieko neatsitinka tarp dviejų nuoseklių įvykių, o nubrėžus grafiką šiai situacijai, jis būtų horizontalus. Uoste vykstančių įvykių skaičius yra baigtinis. 8

9 Yra nustatytas laikas įplaukimui į uostą ir vidinio atvykimo laikas konteinervežiams pagal grafiką. Ši informacija nuskaitoma iš išorinių duomenų, pavyzdžiui, naudotojo pateiktos įvesties duomenų. Laivo laukimo laikas gali būti nuleidus inkarą arba prisišvartavimo vietoje. Pasyvūs subjektai taip pat gauna naudotojo nurodyta įvestį. Šioje sekoje prisišvartavimo vieta ir krovinio aptarnavimo įranga pasirenkami. Naudotojas nustato reikalingus duomenis, kad parinktų kranus, sunkvežimius ir priekabas [4]. Esybė su mažiausiai moduliuojamu įvykiu gauna pranešimą nuo įvykių dispečerio, kad suaktyvintų būseną. Ši žinutė pagrįsta naudotojo nurodytu pavyzdžiu laiko trukmei ir modeliavimui. Duomenų įvedimas konteinervežis užregistruoja šį įvykį, taip pat registruojamas laiko momentas, ir tai reiškia, kad konteinervežio objektas užregistruos prisišvartavimą ir seks įvykius visą laiką kol laivas bus iškrautas ir pakrautas. Įvykio laikas, kuris suaktyvina tam tikrus duomenis, visada nurodo momentinio moduliavimo laiką. Jei dabartinis įvykis turi ryšį su pasyvia esybe, pavyzdžiui, susijęs su išteklių naudojimu, įvesta informacija konteinervežis nusiunčia atitinkamą pranešimą pasyviai esybei, šiuo atveju švartavimo vietai. Toks pranešimas yra kaip užklausimas, o priklausomai nuo to, ar švartavimo vieta laisva, pasekmės gali būti tokios: 1. Pasyvi esybė švartavimo vieta atnaujinama pagal užklausimą ir parodo kokie yra ištekliai (kiek yra laisvos vietos), o po to vyksta aktyvios esybės konteinervežis apdorojimas. 2. Aktyvi esybė konteinervežis laukia, kol reikalingos sąlygos bus įvykdytos. Ji yra blokuojama pasyvioje būsenoje kol pasyvi esybė švartavimo vieta nepasidarys aktyvi. Įvykis taip pat gali reikšti, kad pasyvi esybė, tokia kaip švartavimo vieta, turi būti modifikuota, be aktyvios esybės blokavimo, pavyzdžiui, išteklių reikalavimas daromas patenkinamu. Kai pasyvi esybė atnaujinama šiuo būdu, ji turi leisti dirbti bet kuriai aktyviai esybei, kuri esamu momentu laukia tokio pakeitimo. Jai yra suteikiama galimybė vykdytis nustatant einamą modeliavimo momentą kaip sekančio įvykio laiką. Tai atvejai kai vienas konteinervežis palieka švartavimosi vietą, pastaroji tampa laisva ir tinkama kitam konteinervežiui, kuris laukia nuleidęs inkarą. Kai aktyvus subjektas palieka aktyvuotą būklę neužsiblokuojant, jis arba modifikuoja sekančio moduliuojamo įvykio laiką ir grįžta į laukimo būseną arba yra naikinamas. Po to dispečeris pasirenka ir suaktyvina esybę, turinčią mažiausią sekančio įvykio laiką. Šis šablonas kartojamas, kol nelieka jokios neterminuotos aktyvios esybės arba moduliavimo laikas išeina iš naudotojų nurodytų ribų. PPS leidžia atnaujinti statistinius duomenis, nepriklausomai nuo to, ar aktyvi, ar pasyvi būklė pasibaigė, pavyzdžiui: konteinervežio iškrovimas baigtas, 9

10 konteinervežio pakrovimas baigtas. Supažindinimui šie dalykai gali būti atspindėti išankstinėse ataskaitose, surinkus tam tikrą susijusią informaciją, o galutinė ataskaita gali būti suformatuota, kad atitiktų MS Excel išvesties duomenų bylas. Šios bylos atnaujinamos tik kai aktyvi ar pasyvi būsena praėjo visą ciklą. konteinervežis išvyko po iškrovimo ir pakrovimo. Modeliavimo sistema pagrįsta procesų orientavimu. Jis kuriamas perrašant procesus, kurie vyksta natūralioje uosto aplinkoje, o loginė seka vadovauja modeliavimo įsistemai. Šie procesai sumodeliuojami pagal atsitiktinę logiką. Kad priderintų šį proceso orientavimą jeigu kas nors dar sąlyga plačiai naudojama kartu su paprastų skaičiavimų kombinacijomis, susijusiomis su uosto operacijomis, pavyzdžiui, veiksmų atlikimo uoste laikas, krovininio laivo išvykimas ir švartavimo vietos bei krovinių aptarnavimo įrangos atlaisvinimas kitam laivui. 2.2 Modeliai, realizuoti panaudojant diskrečių įvykių formalias specifikacijas Formalus sistemos specifikavimas Sistemų formaliam aprašymui naudojami įvairios metodikos [5]. Vienas iš būdų formaliai aprašyti sistemą yra diskrečių įvykių sistemos specifikacijos (DEVS) formalizmas. DEVS formalizme reikalinga nurodyti: bazinius modelius, kuriais remiantis konstruojami stambesni; kaip šie modeliai tarpusavyje sujungti hierarchinėje struktūroje. Baziniai modeliai aprašomi šia struktūra: M = X. S, Y, δ int, λ, ta, kur X aibė išorinių įvedimo įvykių tipų; S būsenos aibė; Y išorinių įvykių tipų aibė, sugeneruota išėjimui; δ int ( δ ext ) vidinė (išorinė) perėjimo funkcija, valdanti būsenos kitimus, priklausomai nuo vidinių (išorinių) įvykių; λ - išėjimo funkcija, išėjime generuojanti išorinius įvykius; ta išankstinė laiko funkcija. 10

11 Tačiau toks aprašymas nebūtų patogus imitacinių modelių sudarymui, tad toliau remiamasi atkarpomis tiesiniais agregatais, kurie išlaiko bazines DEVS bei laikinio automato savybes Atkarpomis tiesinis agregatas Agregatiniame sistemos specifikavimo požiūryje sistema atvaizduojama kaip tarpusavyje sąveikaujančių atkarpomis tiesinių agregratų (angl. piece-linear agregate - PLA) aibė [6]. PLA suprantamas kaip objektas su apibrėžtų būsenų aibe Z, įėjimo signalų X ir išėjimo signalų Y aibėmis ( pav.). X Z Y pav. Agregato struktūra X = { 2 x, x 1,... Y = { y 1, y 2,... Z = { z 1, z 2,... Agregato funkcionavimas analizuojamas laiko momentų aibėje t T. Būsena z Z, įėjimo signalas x X ir išėjimo signalas y Y laikomi laikinėmis funkcijomis. Be šių aibių taip pat turi būti apibrėžti perėjimo H ir išėjimo G operatoriai. Atkarpomis tiesinio agregato būsena z Z kiekvienu laiko momentu atitinka atkarpomis tiesinio Markovo proceso būseną: z( t) = ( ν ( t), z ( t)), ν kur ν (t) yra diskretusis būsenos komponentas, įgyjantis reikšmes iš baigtinių reikšmių aibės; ir (t) z ν yra tolydžioji komponentė, susidedanti iš z ( ν t), z ( t), z,..., z ( t) 1 ν1 ν 2 ν k komponentė nusako, kada įvyks įvykis, galintis keisti agregato būseną. koordinačių. Ši Agregato būsena gali keistis tiktai dėl dviejų priežasčių: kai į agregatą ateina įėjimo signalas arba tolydinė komponentė pasiekia apibrėžtą reikšmę. Įėjimo signalo priėmimo faktas vadinamas išoriniu įvykiu. Nesant įėjimo signalams, agregato būsena kinta pagal sekančią priklausomybę: 11

12 dz ν ( t) ν ( t ) = const, = αν, dt kur α = α, α,..., α ) pastovus vektorius. ν ( ν1 ν 2 νk Tuo atveju, kai negali įvykti kažkoks įvykis, atitinkama tolydinės būsenos dedamosios reikšmė yra begalybė. Kaip atkarpomis tiesinio agregato pavyzdys pateikiama vieno kanalo masinio aptarnavimo sistema (MAS) (2.2.2 pav.): λ µ MAS pav. Masinio aptarnavimo sistema (MAS) λ paraiškų atėjimo į MAS intensyvumas; µ paraiškų aptarnavimo intensyvumas; Agregatų būsenos aprašomos taip: z t) = ( ν ( t), z ( t), z ( )), kur ( ν t 1 ν 2 ν (t) - paraiškų skaičius sistemoje laiko momentu t; z ν ( t) - laiko tarpas, po kurio į sistemą ateis nauja paraiška; 1 z ν, jeiν ( t) = 0; ( t) = > 0, jeiν ( ) 0; 2 t z ν ( t) - tai laikas, kada pasibaigs paraiškos aptarnavimas. 2 Sistemos funkcionavimas apibrėžiamas fizinę reikšmę turinčiomis valdymo ir įėjimo sekomis bei sistemos valdymą nusakančiais algoritmais. pav.): Tarkim, kad agregatas yra sistema, turinti N įėjimų bei M išėjimo sąveikos taškų (

13 x 1 x 2 x N A y 1 y 2 y M pav. Agregato įėjimų ir išėjimų schema Įėjimo signalai x1, x2,..., x X atsiranda įėjimo sąveikos taške. x, N i X i i = 1, N traktuojamas kaip elementarus signalas, o X i - elementarių signalų aibė. Bendru atveju elementarus signalas yra vektorinės struktūros: x = { x, x i,..., x 1 2 ri i i i, t.y. jis gali būti apibrėžiamas j j keletu rinkinių, kurių kiekvienas turi reikšmę iš tam tikros aibės : x X, būdu į i-tąjį sąveikos tašką ateinančių elementarių signalų seka bus: X i i i j = 1,r. Tokiu i X * i 1 2 r = X * X *... X i, i = 1, N i i i Agregato įėjimų seka yra X i sekų sąjunga: X = Υ N X i i=1 Analogiškai ir su išėjimo signalais: Y = { y, y 2,..., y y 1 M ; 1 2 si = { y1, y1,..., y1 1; 1 Y k k yl Yl, l = 1, M, k = 1, s1 l-ojo sąveikos taško elementarių signalų seka: Y = Y *...* Y, l = 1, M l 1 l * Y 2 l s l l Išėjimo signalų aibė: Y = Υ M Y l l=1 Agregato funkcionavimas nagrinėjamas diskrečiais laiko momentais T = t, t,..., t,..., { 1 2 m kurias gali įvykti vienas ar keletą įvykių, iššaukiančių agregato būsenos pasikeitimą. Agregato ' ' ' būsenų aibė E susideda iš dviejų poaibių: E = E' E". Į poaibį E ' = { e, e,..., e įeina įvykių 1 2 N klasės/įvykiai ' e i, i = 1, N, sekantys iš įėjimo signalo aibės X = x, x,..., x. Įvykių klasė { 1 2 N 13

14 " { ", = " e j = eij j 1,2,..., kur ij yra įvykių, įvykstančių j-uoju laiko momentu nuo momento t, e 0 klasės " e i įvykis. Poaibio E ' įvykiai vadinami išoriniais įvykiais. Agregato įėjimo signalų aibė " " " atvaizduojama į poaibį E ': X E'. Poaibio E " = { e, e,..., e įvykiai vadinami vidiniais 1 2 f įvykiais, kur e " = { e ", j = 1,2,..., i ij i = 1, f yra agregato vidinių įvykių klasės. f apibrėžia agregate vykstančių įvykių skaičių. Dėl įėjimo įvykių poaibio laiko momentų aibė T dalinama į du poaibius T = T ' T ": T ' - įėjimo signalų atsiradimo poaibis ir T " - vidinių įvykių įvykimo poaibis. Kiekvienai įvykių " e i klasei iš poaibio (i) (i) E " valdymo seka apibrėžiama {, kur - operacijos trukmė. ξ j ξ j Agregate įvykstančių operacijų pradžiai ir pabaigai apibrėžti įvedamos kontrolinės sumos " " sąvokos - { s ( e i, t m ), { w( e i, t m ), i = 1, f, kur s e i, t ) - operacijos, sekančios po klasės ( " m " e i įvykio, pradžios laiko momentas. Šis laiko momentas yra neapibrėžtas, jei operacija neprasidėjo; w( e " i, tm ) w( e " i, tm ) " - operacijos, sekančios po klasės įvykio, pabaigos laiko momentas. Kontrolinė suma apibrėžiama taip: e i w " s( ei, t ) + ξ " m " ( e, ) = r ( e i, t m ) + 1 i tm,, jei momentu t įvyksta operacija; m priešingu atveju kintamojo reikšmė neapibrėžta. Diskrečioji būsenos komponentė ν t ) = { ν ( t ), ν ( t ),..., ν ( t ) nurodo sistemos ( m 1 m 2 m p m diskrečiąją būseną. " z ( t ) = { w( e, t ν m ), w( e, t ),..., w( e, t " " 1 m 2 m f m ) - valdančioji koordinatė, parodanti įvykių įvykimo laiką. Ši koordinatė atitinka kiekvieną e iš įvykių poaibio E ", nes visada " i tenkinama sąryšis w( e ", t ) t. i m m Būsenos koordinatės z( t m ) gali keisti savo reikšmes tik tam tikrais diskrečiais laiko momentais t, m = 0,1,2,..., kur nurodo sistemos funkcionavimo pradžios laiką. m t 0 Žinant sistemos būseną z( t m ), m = 0,1,2,..., sekančio įvykio momentas t apibrėžiamas įėjimo signalo pasirodymu arba lygtimi: " t m+ 1 = min{ w( ei, tm ), 1 i f ; m+1 Sekančio įvykio e m+1 klasė nustatoma pagal įėjimo signalą, jei šis atsiranda laiko momentu t m+1 arba apibrėžiama valdymo koordinate, kuri įgyja mažiausią reikšmę laiko momentu t. m 14

15 poaibių Perėjimo operatorius H e ) nusako naują agregato būseną: ( i z ( tm+ 1) = H[ z( tm ), e j ], e i E' E". Išėjimo signalai y iš aibės Y = y, y,..., y gali būti agregato generuojami įvykių iš E ' ir E " įvykimo laiko momentais. Išvedimo operatorius i G( e i { 1 2 m ) apibrėžia išėjimo signalų turinį: y = G z( t m ), e ], E' E", y Y. [ i e i 2.3 Objektiškai orientuotos modeliavimo sistemos biblioteka Agregatinis požiūris yra sėkmingai taikomas formaliam specifikavimui, teisingumo tikrinimui ir įvairių rūšies sistemų modeliavimui. Tačiau šiuolaikinės programinės įrangos kūrimo tendencijos orientuojasi į objektinį kūrimo procesą. Tiesiogiai atkarpomis tiesinių agregatų atvaizduoti negalima, nes reikia išlaikyti agregatų sujungimo schemą, realizuoti kiekvieno agregato funkcionalumą bei suteikti tam tikrus mechanizmus sistemos teisingumo tikrinimui ir modeliavimui. Tam būtina pasitelkti papildomas objektines konstrukcijas, kuriomis galima sėkmingai rengti agregatinį aprašą. Šio uždavinio sprendimui naudojamas JPranas paketas [7]. Šis paketas susideda iš trijų stambių posistemių, kurių kiekviena skirta spręsti savo tikslus: Agregatų ir jų funcionalumo realizavimo (Pranas paketas); Modeliavimo (Simulation paketas); Teisingumo tikrinimo (Validation paketas). Ši Pranas sistemos biblioteka yra naudojama ne tik modeliavimo sistemų sudarymui, bet taip pat gali būti išplėsta vertinimo modelių sudarymui. Išskirti trys paketai.pavaizduoti 2.3.1pav. Šiame paveiksle paketų klasės yra įtrauktos į atitinkamas dalis. Jpranas paketuose bendros klasės, naudojamos abiejų imitacinio modeliavimo ir teisingumo tikrinimo modelių sudarymui, yra apibrėžtos. 15

16 Simulation + agstatistics + agmodule + agopfix + agsystem + agintevprique Validation + agmod + agitrpoints + agmessage + agextevents + agstate + agoperation + agitrpoint + agsyst + agintevqueue Pranas pav. Sistemos PRANAS paketai Paketas Pranas paveiksle pavaizduota sistemos Prano paketo klasių diagrama. Diagrama parodo kad pagrindinė sistemos gavimo klasė agsyst yra skirta modelio sudarymui. Pakete Pranas ši klasė yra abstrakti. Vėliau, modeliavimo ir teisingumo tikrinimo paketuose ji yra detalizuojama. Kaip parodyta pav., du metodai NextExternalEvent ir NextInternalEvent apibrėžia sistemos modeliavimo algoritmo realizaciją. NextExternallEvent metodas yra skirtas sistemos išorinių įvykių atpažinimui. Po atpažinimo, sistemos valdymas perduodamas atitinkamam sistemos PLA modulio įvedimo/išvedimo operatoriui. NextExternalEvent dirba cikle. Jei jis neranda išorinio įvykio, jis yra iškviečiamas vėl. Šis metodas atrenka įvykį iš vidinės įvykių eilės EventQueue ir perduoda valdymą atitinkamam sistemos PLA įvedimo/išvedimo operatoriui. NextInternalEvent yra abstraktus ir jo realizacijos yra pateiktos modeliavimo ir teisingumo tikrinimo moduliuose. Modeliavimo ir teisingumo tikrinimo paketų vidinis įvykių apdorojimas yra skirtingas. Išorinių įvykių eilė agintevqueue yra taip pat abstrakti klasė. Klasė agmod yra pavaizduota pav. Tai yra kitokia modeliavimo sistemos abstrakcija. Kiekvienas sistemos modulis turi subklasę agmod klasę. 16

17 module 1 AddStateVar( ) modules AddOperation( ) 1..* InternalEvent( ) simmodel ExternalEvent( ) module agmod agsyst eventqueue : agintevqueue NextInternalEvent( ) NextExternalEvent( ) 1 state agstate AddOperation( ) AddStateVar( ) 0..* agstatevar varbuf GetVarStr( ) opbuf 0..* agoperation Start( ) Cancel( ) GetModule( ) 0..* 1 eventqueue agintevqueue Push( ) PopFront( ) Erase( ) pav. Pranas paketo sistemos modulių klasių diagrama Pagrindinis modulio elementas yra jo būsena agstate. Būsena yra saugoma agstatevar klasės tipo kintamuosiuose, kur kiekvienas kintamasis aprašo atskirą komponentą ir agoperation tipo kintamuosius, kurie aptašo komponentų agregatus. Kitaip sakant, būsena susideda iš dviejų dalių: varbuf būsenos kintamųjų; opbuf operacijų (vidiniai įvykiai). Išorinių įvykių klasių diagrama pavaizduota pav. Kiekvienas agmod modulis turi gaunamo signalo buferį input. AgExtEvents klasė realizuoja šį buferį. Ši klasė kaupia visus iš kitų modulių priimtus pranešimus. Pranešimai agmessage klasės arba jos subklasės tipų, gali būti perduodami tarp modulių. agextevents 1 PutMessage( ) IsEmpty( ) input GetMessage( ) msbuf 0..* agmessage SetSender( ) GetSender( ) from 1 from 1 agmod AddItrPoint( ) GetMessage( ) ReceiveMessage( ) 1..* to output agitrpoints 1 AddItrPoint( ) itrpts 0..* agitrpoint agitrpoint( ) Connect( ) Output( ) pav. Išorinių įvykių klasių diagrama 17

18 Tam kad moduliams perduoti pranešimą, siunčiamas modulis turi būti aprašytas sąveikos taškų output aibės pagalba. Ši klasė yra realizuojama konteineriu iš agitrpoints klasės. Kiekvienas agitrpoint objektas yra sujungtas su jo moduliu (from jungtis) naudojant ryšių sąsają ir su moduliais (to jungtis) kuriam perduodami pranešimai. Jungtis to tipo, sukuria modulių sąveikos kanalus, kurių pagalba perduodami pranešimai tarp modulių. Išėjimo sąveikos taškų apibrėžimas leidžia prijungti vieną ar daugiau modulių vienam išėjimo sąveikos taškui. Tokiu būdu, prijungiant keletą modulių prie to paties išėjimo sąveikos taško ir jam siunčiant pranešimą, įvyksta automatinis pranešimo perdavimas visiems prijungtiems moduliams. Modulio sąveikos (pranešimų perdavimas) realizavimo algoritmas agmod parodytas pav. diagramoje. 1: Output (agmessage) from : agmod itrpoint : agitrpoint 2: ReceiveMessage (agmessage) to : agmod input : agextevents 3: PutMessage (agmessage) pav. agmod modulio sąveikos algoritmas Kaip parodyta pav. diagramoje, tam kad perduoti pranešimą iš vieno modulio kitam, output sąveikos taškų metodas modulyje-siųstuve from yra paleistas. Šis metodas yra inicijuojamas įvedimo/išvedimo modulio operatoriuje. Po iniciacijos, modeliavimo sistema, kaip parodyta pav., perduoda metodo parametrus į priėmimo buferį input iš to modulio-gavėjo. Po įvedimo/išvedimo operatoriaus įvykdymo modeliavimo sistemos modulyje, modeliavimo sistemos algoritmas ieško pranešimų visuose modulių pranešimo priėmimo buferiuose. Tam tikslui naudojamas agsyst metodas NextExternalEvent. Šis metodas, kaip parodyta pav., grąžina identifikuotoją md iš pirmos sistemos modulio, kurio pranešimų priėmimo buferis sudarytas iš bent vieno neapdoroto pranešimo. Jei tokių modulių yra, GetMessage metodas šiems moduliams atrenka pirmą pranešimą iš md-th pranešimų priėmimo buferio. Toliau ExternalEvent metodas iš md-th pranešimo yra įvykdomas. Šis metodas yra skirtas modulių įvedimo/išvedimo operatorių realizavimui, kai pasitaiko išorinių įvykių. agmod klasėje šis metodas yra abstraktus ir turi būti realizuojamas jo paveldėtojo. 18

19 1: md=nextexternalevent ( ) : agsyst 2: ms=getmessage ( ) 3: ExternalEvent (ms) md : agmod pav. Išorinių įvykių apdorojimo algoritmas 2.3.5pav. pavaizduotas (išorinių įvykių apdorojimo) modulių sąveikos algoritmas yra toks pat modeliavimo ir teisingumo tikrinimo modeliams, ir tokiu būdu pilnai realizuojamas Pranas paketuose Imitacinio modeliavimo paketas Vidinių įvykių apdorojimo algoritmai yra skirtingi modeliavimo ir teisingumo tikrinimo modeliuose. Dėl šios priežasties, algoritmai yra realizuojami atitinkamuose paketuose. agsyst (from Pranas) agintevqueue (from Pranas) eventqueue 1 agsystem stime : Time Run( ) GetTime( ) eventqueue 1 agintevprique Push( ) PopFront( ) Erase( ) agopfix s : Time w : Time p : Time Start( ) GetW( ) agoperation (from Pranas) 0..* pav. Modeliavimo paketo klasių diagrama Ši dalis apibrėžia vidinių įvykių apdorojimo algoritmą modeliavimo pakete. Kaip parodyta pav., subklasė agsystem yra apbrėžiama modeliavimo pakete. Ši subklasė suteikia struktūrą veiklos modeliavimui. Modeliavimo modelio klasė agsystem suteikia dvi naujas funkcijas. Pirmoji Run paleidžia modeliavimą, kol antroji grąžina esamą modeliavimo laiką. Duomenų laukas s yra taip pat sąlygojamas laikyti esamą modeliavimo laiką. Vidiniai įvykiai yra realizuojami kaip klasės agoperation iš paketo Pranas subklasės. Modeliavimas reikalauja palaikyti skirtingų operacijos tipų rinkinį (vidiniai įvykiai). Tokia viena iš subklasių agopfix modeliavimo valdymui pavaizduota pav. Šios subklasės duomenys: 19

20 s laiko momentas procesui prasidėjus; w laikas kada įvyko išorinis įvykis; p proceso trukmė. Funkcija Start gali būti iškviesta proceso įvykdymui. Prioritetų eilė agintevprique, kuri yra subklasė agintevqueue klasės, yra naudojama įvykiams, kurie laukia savo eilės įvykti, aprašyti. Ši eilė yra papildoma priklausomai nuo laiko, kai įvykis turi atsitikti, vadinasi žemiausias elementas visada turi būti sekantis modeliuojamas įvykis. 3: w=s+p : agmodule 1: Start ( ) : agopfix - s : Time - w : Time - p : Time 2: s=gettime ( ) : agsystem 4: Push (this) : agintevprique pav. Proceso įvykdymo algoritmas Proceso įvykdymo algoritmas pavaizduotas pav. Nurodant atlikti procesą bet kuriame PLA modulio įvedimo/išvedimo operatoriuje, Start metodas iš atitinkamo PLA modulių proceso turi būti iškviestas. Po einamo modeliavimo laiko reikšmės priėmimo, šis metodas apskaičiuoja laiko momentą ω, kai įvyks vidinis įvykis. Toliau tas įvykis patalpinamas į išorinio įvykio eilę eventqueu. Išorinių įvykių apdorojimo algoritmas modelių modeliavime pavaizduotas pav. : agsystem - stime : Time 1: op=popfront ( ) 2: md=getmodule ( ) 3: stime=getw ( ) : agintevprique op : agoperation md : agmodule 4: InternalEvent (op) pav. Išorinių įvykių apdorojimo algoritmas 20

21 Naudojant pateiktas modeliavimo sistemos klasių diagramas, jos realizuotos Java kalboje. Java kalba buvo pasirinkta todėl, kad buvo reikalavimas sukurti modelį kuris turėtų integruotą mazuto perkrovimo naftos terminale informacinę sistemą. 2.4 AgDraw panaudojimas imitacinio modelio programinės realizacijos sudarymui Programinės įrangos produktas Agregatų Braižyklė yra skirtas sistemų imitaciniams modeliams sudaryti. Programinė įranga teikia tokias galimybes: Agregatų diagramų braižymas UML diagramų iš agregatų diagramų generavimas UML diagramų redagavimas Diagramų spausdinimas spausdintuvu Programos kodo generavimas iš agregatų ir UML klasių diagramų: o Java kodo generavimas o C# kodo generavimas o Omnicore CodeGuide 6.0 projekto sudarymas o Microsoft Visual Studio.NET projekto sudarymas Programinė įranga veikia Microsoft Windows 95/98/ME/NT4.0/2000/XP ir Linux operacinėse sistemose Programinės įrangos panaudojimo atvejai Programinės įrangos panaudojimo atvejai: Kurti naujas diagramas - Galimybė sukurti naują agregatų diagramą (tuščias lapas, kuriame jas galima piešti). Atidaryti agregatų diagramas - Galimybė ankščiau redaguotas iš išsaugotas diske diagramas užkrauti ir vėl leisti toliau jas redaguoti. Išsaugoti agregatų diagramas - Galimybė išsaugoti diske jau sukurtas, redaguotas ir sugeneruotas diagramas. Spausdinti diagramas - Galimybė spausdinti sukurtas, sugeneruotas diagramas. Spausdinamas grafinis vaizdas, turi atrodyti panašiai kaip ir ekrane atvaizduota diagrama. Redaguoti diagramas - Gailimybė redaguoti UML, agregatų diagramas. Įterpti naujas klases, naujus agregatus, sudėti naujus ryšius, redaguoti agregato, klasės parametrus. 21

22 Generuoti kodą - Iš nubraižytų agregatų diagramų ir UML diagramų sugeneruoti, agregatų diagramoje modeliuojamos sistemos, programos,modeliuojančios šią sistemą, kodą. Pasirenkamai generuoti C# arba Java kodą. Generuoti UML - Iš nubraižytų agregatų diagramų sugeneruoti agregatų diagramoje modeliuojamos sistemos programinį modelį ir jį atvaizduoti UML diagramomis. modeliuojamos sistemos programinį modelį ir jį atvaizduoti UML diagramomis. Programinės įrangos panaudojimo atvejai pateikti pav pav. Programinės įrangos panaudojimo atvejai Programinės įrangos komponentės Programinė įranga sukurta remiantis komponentine technologija. Programos komponentai yra: AgDraw Vartotojo pagalba Spausdinimo komponentas XML rašymo/skaitymo komponentas Kodo generatorius 22

23 GUI vedlys Diagramų braižyklė UML klasių diagramų braižyklė Agregatų diagramų braižyklė pav. Programos komponentai ir ryšiai tarp jų Komponentas AgDraw pagrindinis programos komponentas, naudojantis visus kitus komponentus. Jis naudoja Agregatų braižyklę braižyti agregatų diagramoms, UML klasių braižyklę braižyti UML klasių diagramoms, kodo generavimo komponentą generuoti programos kodą iš agregatų diagramų ir UML klasių diagramų. XML skaitymo/rašymo komponentas naudojamas išsaugoti diagramas diske, o vėliau jas užkrauti tolesniam redagavimui. Spausdinimo komponentas naudojamas spausdinti diagramas spausdintuvu. Diagramų braižyklės naudoja tą modelį atvaizdavimui, o diagramos braižyklės redagavimo įrankiai redaguoti diagrama. Agregatų diagramos struktūra naudojama agregatų braižyklėje ją redaguoti, o taip pat kodo generavimo komponente generuojant programos kodą. Taip pat ši struktūra naudojama išsaugoti diagramą diske. Saugojimui diagramos diske naudojamas XML rašymo/skaitymo komponentas. AgDraw komponentas naudoja spausdinimo komponentą atspausdinti diagramas rodomas ekrane spausdintuvu. Spausdinimas vyksta labai paprastai: užtenka diagramos braižyklės komponentui nurodyti paišymo sritį, kuri yra ne programos langas, o spausdintuvas ir diagrama bus pasiųsta į spausdintuvą ir atspausdina. AgDraw komponentas taip pat vartoja vartotojo pagalbos komponentą. Leidžia beveik bet kuriuo metu iškviesti vartotojo pagalbos 23

24 langą ir rodyti su dabar atliekamu veiksmu, vartotojo stebimu dialogo langu susijusią pagalbą. AgDraw komponente realizuotas UML klasių generavimas iš agregatų diagramos. Diagramų braižyklė komponentas skirtas braižyti diagramas. Naudojamas kaip pagrindas UML klasių diagramų braižyklės ir Agregatų diagramų braižyklės. Komponentas teikias šias funkcijas: Įdėti/ pašalinti/ redaguoti dėžutes Įdėti/ pašalinti/ redaguoti sujungimus tarp dėžučių. Rodyti/ nerodyti redagavimo tinklelį Komponentas realizuoja programos langelį, kuriame atliekamas diagramų piešimas/redagavimas. Komponentas yra skirtas naudoti kaip pagrindas kurti įvairių tipų diagramas. Nauji diagramų tipai sukuriami paveldėjus Diagram klasę, realizavus jos metodus createbox(...) ir createlink(...), kurie atitinkamai sukuria diagramoje braižomus objektus ir sujungimus tarp jų. Taip pat reikia sukurti diagramoje braižomų objektų ir sujungimų klases. Tai realizuojama paveldint DiagramBox ir DiagramConnection klases ir realizuojant jų abstrakčius metodus. UML klasių diagramų braižyklė komponentas skirtas braižyti UML klases. Naudoja diagramų braižyklės komponento klases paveldėjimo keliu ir teikias šias funkcijas: Įdėti/pašalinti/redaguoti UML klases Įdėti/pašalinti/redaguoti paveldėjimo ryšį Įdėti/pašalinti/redaguoti agregavimo ryšį Įdėti/pašalinti/redaguoti asociacijos ryšį Agregatų diagramų braižyklė komponentas skirtas braižyti agregatų diagramas ir ryšius tarp jų. Naudoja diagramų braižyklės komponento klases paveldėjimo keliu ir teikias šias funkcijas: Įdėti/ pašalinti/ redaguoti agregatus Įdėti/ pašalinti/ redaguoti sujungimus tarp agregatų 24

25 3. MAZUTO PERKROVIMO PROCESO NAFTOS TERMINALE IMITACINIO MODELIO SUDARYMAS 3.1 Mazuto perkrovimo proceso konceptualinis modelis Į terminalą mazutas pristatomas traukiniu. Perkrovimo trukmė iš traukinio cisternos į rezervuarą priklauso nuo mazuto temperatūros ir ši priklausomybė yra žinoma. Perpilant mazutą iš geležinkelio vagonų į rezervuarus didelę įtaką turi lauko temperatūra, nes mazutas, priklausomai nuo jame esančio sieros kiekio tirštėja jau esant +20ºC temperatūrai. Mazuto perkrovimui į terminalą yra naudojamos dvi platformos, kuriose yra atliekas traukinių vagonų iškrovimas. Kada abi platformos yra užimtos, atvykę traukiniai yra statomi į eilę traukinių stotyje. Traukinių vagonai yra iškraunami pagal jų atvykimo tvarką. 3.2 Mazuto perkrovimo proceso matematinis modelis Žemiau pateikti imitacinio modelio agregatinės sistemos ir atitinkamų agregatų matematiniai aprašymai Agregatinė schema Naftos terminalas yra skirtas naftos ir naftos produktų, taip pat mazuto, atvežto geležinkeliu, kaupimui ir krovimui į tanklaivius. Šiuo atveju analizuojamas mazuto perkrovimo procesas naftos terminale [8]. Šiam procesui modeliuoti yra apibrėžiamos pagrindinės analizuojamos sistemos: Traukinys; Stotis; Valdymas; Bazė; Tanklaivis. Mazuto perkrovimo proceso agregatinė schema, pavaizduota pav., sudaroma iš atitinkamų agregatų: 1. Traukinio agregatas realizuoja traukinių atvykimą į geležinkelio stotį. 2. Stoties agregatas realizuoja atvykstančių traukinių priėmimą geležinkelio stotyje. 25

26 3. Valdymo agregatas realizuoja vagonų paskirstymą į naftos produktų perpylimo estakadas. 4. Bazės agregatas realizuoja rezervuarų užpildymą naftos produktais. 5. Tanklaivio agregatas realizuoja naftos produktų pakrovimą į tanklaivius. Traukinys Atvykimas ( e 1) Stotis x 1 Vykimas( e ) Išpylimas [1] ( e ) 1 21 Valdymas y 1 x 1 y 1 Traukinio užpildymas x 2 Išpylimas [2] ( e ) 22 x 2 y 1 y 2 x 3 y 1 x 1 x 3 Bazė Tanklaivis x 1 Pakrovimas( e ) Pervežimas ( e ) 1 2 y 1 x 2 Mazuto lygis ( e ) pav. Agregatinė schema Traukinio agregatas 1. Įėjimo signalai: X =. 2. Išėjimo signalai:, yra atvykęs. Y = { y { atvyko _ traukinys y 1 3. Išoriniai įvykiai: E =. 4. Vidiniai įvykiai: E = { 1 e 1, e 1, kai atvyko_traukinys traukinys jau įvykis, kuris atsitinka atvykus traukiniui. 5. Valdymo sekos: e η, η laiko trukmė iki kada atvyksta sekantis traukinys. { 1 i= 0 6. Diskretinės būsenų koordinatės: ( t) = ν. 7. Tolydinės būsenų koordinatės: z ( t) = { w( e ν, t), kur w ( e, t) traukinys. w e1, t = t + η. 8. Pradinė būsena: ( 0 ) Perėjimo operatoriai: 1 laikas, kai atvyksta sekantis 1 26

27 ( ) H e 1 : w( e1, t m +1 ) = t m + ηm. G ( ): Y = {, kur y { atvyko _ traukinys e 1 y 1 1 = Stoties agregatas 1. Įėjimo signalai: x 1, x 2, 2. Išėjimo signalai:, X = { x 1 { atvyko _ traukinys, x { shunt 2 Y = { y { start _ pump, end _ pump y 1 3. Išoriniai įvykiai: E = { e 1, e Vidiniai įvykiai: E = { e, e e. 1 21, 5. Valdymo sekos:, e { 1 η i e { i= 1 21 ξ 1i, { i= i i= 1 e ξ, η i, ξ ij procesų trukmės. 6. Disketinės būsenų koordinatės: ( ) = { Q( t), rate ( t) rate ( t) fiksuojami traukinių atvykimo laikai, platformos. ν, Q t eilė, kurioje yra t 21, 22 ( t) rate 2 i naftos pripylimo intensyvumas iš i-tosios 7. Tolydinės būsenų koordinatės: z ( t) = { w( e t), w( e, t), w( e, t) 8. Pradinė būsena: # t0 =, 9. Perėjimo operatoriai: ( ): e 1, ν Q ( ) 0 rate ( t ) 0, ( t ) = H Q ( t ) Enq( Enq( Q( t ), t ), t ) priklausomybė nuo Q ( t ). rate = m+ 1 = m m m Enq H ( e 2 ): Q( t m +1 ) = Deq( Q( t) ), w( e t m +1 ) = t m + ηm H ( ): e 1 G ( ): e 1 1,. (), kur naujo elemento operatoriaus { w( e 2 i, tm+1 ) = tm + ξim, rate2 i ( t m +1 ) = ξim, = j rate j ( tm ) Y = {, kur y { start _ pump H ( e 2 ): G( e 2 ): y 1 i rate2 i ( t m +1 ) = ξim, i 1 =. Y = {, kur y { end _ pump y 1 1 =. i min 2 = Valdymo agregatas 1. Įėjimo signalai: x, x x, x 3 X = { x { atvyko _ traukinys 1 2, { start _ pump, end _ pump 2. Išėjimo signalai: y 1, y 2, 3. Išoriniai įvykiai: E = { e, e, e e 3. {,, 1 x 2 atvyko_tanklaivis Y = { y 1 { shunt, y { start _ loading , Vidiniai įvykiai: E"=. 27

28 5. Valdymo sekos:. 6. Diskretinės būse nų koordinatės: ν ( t ) = { trn( t), eng( t), plt( t), trn() t traukinių skaičius stotyje, () t 7. s būse eng lokomotyvo būsen a, plt ( t) platformos būsena. Tolydinė nų koordinatės: ( t) = z ν. 8. Pradinė būsena: trn( t ) = 0, eng( ) = 0, plt( t ) 0 9. Perėjimo operatoriai: eng H ( e 1 ): ( tm+ ) = trn( trn 1 t ) + 1 ( t ) 1 ( ) ( ) + 1 m+ 1 =, plt tm+ 1 = plt tm ( ) e 1 t = G : jei ( ( t ) 0^ plt( t ) <= 1) ( H ):. e 2 ( ): e 2 m m, jei ( eng ( tm ) = 0^ plt( tm ) <= 1) = m, tai eng tai Y = {, kur y = { shunt G Y = {, kur y { start _ loading ( ): e 31 eng( t m+1 ) = 0 y 2 2 =. y 1 1. H trn ( t m ) = trn( t ) 1, jei ( trn( t ) 0^ plt( t ) 1) tai ( t ) = plt( t ) 1 ( ): je e m G i ( trn( t ) 0^ plt( t ) 1) tai Y = {, kur y = { shunt e 32 m m m y 1 m 1. H ( ): jei ( trn( t ) 0^ eng( t ) = 0) tai eng ( t m ) = toliau eng (t m m G ): jei ( trn( t ) 0^ plt( t ) 1) t Y = { y, kur y = { shunt ( e 32 m m ai. 1 1 plt toliau m+ 1 m + ) 0 m+1 = Tanklaivio agregatas 1. Įėjimo signalai: X = { x 1, x { start _ pump 2. Išėjimo signalai: Y = y y, 1. { 1, 2 y 1 { atvyko_tanklaivis, y { end _ pump 3. Išoriniai įvykiai: E = {. 4. Vidiniai įvykiai: E = e, e. 1 2 e 1 { 1 i 1 5. Valdymo sekos: e { η i, { = i = 2. e ξ, η i, ξ j procesų trukmės. 2 i 1 ų koordina s: ν t =. 6. Diskretinės būsen tė ( ) ( t ) = { w( e, t), w( e 2, t) 7. Tolydinės būsenų koordinatės:. e1, t t + η. w 0 8. Pradinė būsena: ( 0 ) = 0 9. Perėjimo operatoriai: H ( e 1 ): w( e t m +1 ) = tm +η 1, m. zν 1 G ( e 1 ): 28

29 ( ) H :. e 1 G ( ): Y = {, kur y = { atvyko_tanklaivis e 1 y 1 H ( e 2 ): w( e 2, tm+1 ) = tm + ξm. G ( ): e 2 1. Y = {, kur y { end _ pump y 2 2 = Bazės agregatas 1. Įėjimo signalai: X = { x, x x, x 1 { start _ loading, x { end _ loading 1 2, x 3 { start _ pump, end _ pump. 2. Išėjimo signalai: Y = 3. Išoriniai įvykiai: E = e 1, e 2, e 3. 3 { E =. 4. Vidiniai įvykiai: { 5. Valdymo sekos: F( tank_rate( )). e 1 e { 1 t 6. Diskretinės būsen ų koordinatės: ν ( t ) = { tank_rate( t). ( t ) = { w( e, t) 7. Tolydinės būsenų koordinatės: z. 8. Pradinė būsena: tank_rate( t 0 ) 9. Perėjimo operatoriai: ν 1 = start _ amount. H ( e 1 ): tank_rate( t m + 1 ) tank_rate( t m ) + traukin _ rate ( ): e 2 =. H tank_rate( t ) = tank_rate( t ) traukin _ rate H ( e 3 ): je i x start _ pump tai toliau tank_ rate H ( e 1, t ) m :. m + 1 m. 2, 3 = tank_rate( tm + 1) = tank_rate( tm ) tanklaivis _ rate ( t ) = tank_rate( t ) tanklaivis _ rate m + 1 m Imitacinio modelio programinė realizacija Norint sukurti kokios nors sistemos modelį ir atlikti mums domimus skaičiavimus kartais tenka realizuoti programą imituojančią tą sistemą ir atlikti skaičiavimus. Kadangi imituojama sistema būna iš anksto suprojektuota ir atvaizduota diagramomis, vartotojui tenka pačiam susiprogramuoti pagal tas diagramas sistemą modeliuojančia imitacinę programa. Tai įveda daug nuobodaus ir nekūrybingo darbo. Programa Agregatų Braižyklė (AgDraw) palengvins šitą darbą ir leis grafiniu būdu nusibraižyti sistemos diagramas ir iš jų susigeneruoti modeliuojamą sistemą imituojančią programą. 29

30 3.3.1 Imitacinio modelio agregatinės sujungimo schemos sudarymas Naud ojantis AgDraw priemone, sukuriami agregatai, remiantis pav. schema ir sujungiami atitinkamais kanalais, kaip parodyta pav pav. Imitacinio modelio agregatinė schema Imitacinio modelio programinės realizacijos klasių modelio sudarymas Pagal sudarytą pav. agregatinę sujungimo schemą, AgDraw priemonė automatiškai sugeneruoja programinės realizacijos klasių diagramas. Bendras klasių modelis pavaizduotas pav pav. vaizduojamas imitacinio modelio programinės realizacijos Traukinio klasės modelis, pav. imitacinio modelio Stoties klasės modelis, pav. imitacinio modelio Bazės klasės modelis, pav. imitacinio modelio Valdymo klasės modelis, pav. imitacinio modelio Tanklaivio klasės modelis. 30

31 3.3.2 pav. Imitacinio modelio programinės realizacijos klasių modelis pav. Imitacinio modelio programinės realizacijos Traukinio klasės modelis 31

32 pav. Imitacinio modelio programinės realizacijos Stoties klasės modelis pav. Imitacinio modelio programinės realizacijos Bazės klasės modelis 32

33 pav. Imitacinio modelio programinės realizacijos Valdymo klasės modelis pav. Imitacinio modelio programinės realizacijos Tanklaivio klasės modelis 33

34 3.3.3 Imitacinio modelio programinio kodo sudarymas Pagal skyrelyje sudarytas modelio klasių diagramas, AgDraw priemonė sugeneruoja imitacinio modelio programinio kodo karkasą. Šio modelio programinį kodą sudaro tokios Java klasės (Imitacinio modelio programos kodai pateikti priede 7.1): Eksperimentas pagrindinė imitacinio modelio klasė, realizuojanti imitacinio modelio eksperimento valdymą (Priedas 7.1.1). Modelis realizuoja agregatų sujungimo schemą (Priedas 7.1.2). Traukinys realizuoja traukinio agregatą, kuris modeliuoja traukinių atvykimą į geležinkelio stotį (Priedas 7.1.3). Stotis realizuoja stoties agregatą, kuris modeliuoja atvykstančių traukinių priėmimą geležinkelio stotyje (Priedas 7.1.4). Valdymas realizuoja valdymo agregatą, kuris modeliuoja traukinių vagonų paskirstymą į naftos produktų perpylimo estakadas (Priedas 7.1.5). Bazė realizuoja bazės agregatą, kuris modeliuoja rezervuarų užpildymą naftos produktais (Priedas 7.1.6). Tanklaivis realizuoja tanklaivio agregatą, kuris modeliuoja naftos produktų pakrovimą į tanklaivius (Priedas 7.1.7). Turint šio modelio karkasą Java kalboje, penkios paskutinės klasės buvo papildytos agregatų perėjimo išėjimo operatoriais. Šių operatorių matematiniai modeliai pateikti 3.2 skyrelyje. Tokiu būdu buvo gautas visas imitacinio modelio programinis kodas Mazuto perkrovimo proceso imitacinio modeliavimo rezultatai Panaudojus skyrelyje sukurtą naftos terminalo imitacinio modelio programą, buvo atlikti imitacinio modeliavimo eksperimentai. Buvo modeliuojamas naftos terminalo darbas perkraunant mazutą, esant įvairioms lauko temperatūroms nuo -10ºC iki + 10ºC. Perpilant mazutą iš geležinkelio vagonų į rezervuarus, lauko temperatūra turi labai didelę įtaką, nes mazutas, priklausomai nuo esamo jame sieros kiekio, tirštėja jau esant vidutiniškai +20ºC temperatūrai. Esant žemesnei lauko temperatūrai, ilgiau pastovėjusius traukinių vagonus geležinkelio stotyje, tenka šildyti ir tik tada perpilti į rezervuarus. Vagonų šildymas brangiai kainuoja ir padidina naftos terminalo mazuto perkrovimo išlaidas. Todėl yra labai svarbu naftos terminale priimti tiek mazuto vagonų, kiek bus spėjama iškrauti, kad nesusidarytų eilės ir neatvėstų mazutas žemiau +20 ºC temperatūros. Todėl šiame eksperimente buvo parinkta temperatūra nuo -10ºC iki +10ºC, ir keičiamas atvykstančių traukinių intensyvumas. Atvykstančių traukinių intensyvumas 34

35 matuojamas santykiniu dydžiu λ. Intensyvumo reikšmė 1 santykinai rodo maksimalų terminalo apkrovimą, intensyvumo reikšmė 0,5 reiškia, kad į naftos terminalą atvyksta 50 proc.galimų va gonų per parą, kuriuos galėtų iškrauti terminale, esant tam tikrai lauko temperatūrai. Visuomet yra taikomas metodas dirbti pelningai, t.y. gauti numatytą pelną, kartu reguliuoti kainų tarifus, siekiant kad jie būtų konkurencingi. Modeliavimo metu buvo skaičiuojamos gaunamos pajamos už mazuto perkrovimą, bei naftos terminalo išlaidos mazutui perkrauti. Šių dydžių skirtumas duoda pelną, esant skirtingiems intensyvumams, bei esant skirtingoms temperatūroms. 1 lentelėje pateikiami rezultatai, kai T=+10ºC λ T+10 (Pajamos) T+10 (Išlaidos) T+10 (Pelnas) 0, , , , , lentelė Pajamų, išlaidų ir pelno grafinė išraiška pavaizduota pav., kai lauko temperatūra T=+10ºC Piniginė vertė T+10 (Pajamos) T+10 (Išlaidos) T+10 (Pelnas) ,3 0,36 0,45 0,6 0,9 Intensyvumas pav. imitacinio modelio eksperimento grafikas, k ai T=+10ºC modeliui. Atliekant analizę, reikia rasti optimalius sprendimus analizuojamam imitaciniam 35

36 2 lentelėje pateikiami rezultatai, kai T=0ºC 2 lentelė λ T0 (Pajamos) T0 (Išlaidos) T0 (Pelnas) 0, , , , , , T=0ºC Pajamų, išlaidų ir pelno grafinė išraiška pavaizduota pav., kai lauko temperatūra Pinigin ė vertė T0 (Pajamos) T0 (Išlaidos) T0 (Pelnas) ,3 0,36 0,45 0,6 0,75 0,9 Intensyvumas pav. imitacinio modelio eksperimento grafikas, kai T=0ºC 3 lentelėje pateikiami rezultatai, ka i T=-10ºC 3 lentelė λ T-10 (Pajamos) T-10 (Išlaidos) T-10 (Pelnas) 0, , , , , , T=-10ºC Pajamų, išlaidų ir pelno grafinė išraiška pavaizduota pav., kai lauko temperatūra 36

37 Piniginė vertė ,3 0,36 0,45 0,6 0,75 0,9 T-10 (Pajamos) T-10 (Išlaidos) T-10 (Pelnas) Intensyvumas pav. imitacinio modelio eksperimento grafikas, kai T=-10ºC Reikia nustatyti ribą, kad mazuto perkrovimo procesas nebūtų nuostolingas, o pasiekus pelno zoną, reguliuoti traukinių priėmimą traukinių stotyje taip kad darbas vyktų sklandžiai. Traukinių priėmimo santykinis intensyvumas gali siekti nuo minimalios iki maksimalios, t.y lygios vienetui vertės. Atlikus analizę, remiantis pajamų, išlaidų ir pelno grafinėmis išraiškomis matyti, kad esant aukštesnei lauko temperatūrai pvz. +10ºC ir daugiau, traukinių priėmimo apkrovimas gali būti maksimalus,t.y iki 100proc., priešingu atveju, esant žemai lauko temperatūrai pvz. -10ºC ir mažiau, traukinių pr iėmimo darbas bus efektyvus ir pelningas, jei intensyvumas sieks nuo 0,4 iki 0,6, t.y į traukinių stotį atvyktų traukinių nuo 40proc. iki 60 proc. Mazuto perkrovimo proceso imitaciniame modelyje svarbiausi kriterijai yra perkrovimo kaina ir aptarnavimo laikas. Galima daryti prielaidą, kad mazuto perkrovimo proceso imitacinio modelio darbo našumą ir pelningumą nusako optimalus traukinių priėmimas traukinių stotyje, atsižvelgiant į esamą lauko temperatūrą. 37

38 4. VARTOTOJO DOKUMENTACIJA 4.1 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos funkcinis aprašymas Mazuto perkrovimo proceso imitacinio modeliavimo sistema yra skirta modeliuoti naftos produktų priėmimą geležinkeliu į naftos terminalą. Modeliavimo sistema modeliuoja tokius procesus: 1. Į terminalą naftos produktai pristatomi traukiniais. 2. Traukiniai laukia iškrovimo traukinių stotyje. 3. Traukinių iškrovimo valdymą atlieka naftos terminalo dispečeris. 4. Pagal dispečerio duotas komandas, vagonai yra perstumiami į išpylimo estakadas, kurių yra dvi. 5. Iškrovimo trukmė iš vagonų į terminalo rezervuarus priklauso nuo mazuto temperatūros traukinių cisternose. Modelyje priimta, kad visi traukiniai atvyksta esant apie 45 C temperatūrai. 6. Traukiniai iškraunami pagal jų atvykimo eilę. 7. Tanklaivio pakrovimas yra atliekamas prie atitinkamos krantinės, kuri yra viena. 8. Tanklaivio pakrovimo laikas priklauso tik nuo perkraunamo mazuto kiekio. 9. Tanklaivio pakrovimo valdymą atlieka tas pats dispečeris. Sukurtas modelis leidžia keisti tokius modelio parametrus: 1. Traukinių atvykimo į geležinkelio stotį intensyvumą. 2. Lauko temperatūrą. 4.2 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos vartotojai Modeliavimo sistema yra skirta vienam vartotojui, kuris atlieka imitacinius eksperimentus su sukurtu modeliu. 4.3 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos darbo aplinka Programinė įranga dirba Microsoft Windows (95, 98, 2000, XP, 2003) ir Linux (reikalingas XWindows serveris) operacinėse sistemose, jei ten bus įdiegta Sun Microsystems Java Virtal Machine (JVM) ar naujesnė versija. 38

39 4.4 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos realizavimo apribojimai Modelis realizuotas Java programavimo kalba, naudojama Sun Microsystems Java Development Kit (JDK) versija Jokių kitų apribojimų nėra. 4.5 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos techninės įrangos reikalavimai Intel ar 100% suderinamas procesorius nuo 166MHz, 32MB atminties, mažiausiai 371 MB laisvos vietos kietajame diske. 4.6 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos diegimas Sistema yra diegiama failų kopijavimo būdu. Iš cdrom į darbinį diską turi būti perkopijuota JPranas direktorija. Turi būti atitinkamai paredaguoti make.bat, build.bat, run.bat komandiniai failai. Komanda SET.PATH nurodo, kokioje direktorijoje yra instaliuojama Java v irtuali mašina. 4.7 Mazuto perkrovimo proceso imitacinio modeliavimo sistemos programos vykdymas Mazuto perkrovimo proceso imitacinio modeliavimo programa yra paleidžiama iš programinio failo run.bat. Programai pabaigus darbą, yra sukuriamas modeliavimo rezultatų failas report.xml. Rezultatus galima pasižiūrėti standartine interneto naršykle, arba bet kuriuo teksto redaktoriumi. Pirmuoju atveju (4.7.1 pav), panaudojus interneto naršyklę kaip Microsoft Internet explorer, kartu yra atliekama failo report.xml duomenų XSL transformacija. Ši transformacija atliekama pagal report_trnsf.xsl faile apibrėžtą algoritmą pav. pavaizduoti tie patys rezultatai, kaip ir pav., tik vartotojui patogioje formoje, atlikus minėtą XSL transformaciją. Šios transformacijos aprašymą vartotojas gali keisti pagal savo pageidavimus. 39

40 4.7.1 pav. Imitacinio modeliavimo programos vykdymo rezultatai XSL forma pav. Imitacinio modeliavimo programos vykdymo rezultatai XML forma 40

VERSLO IR VADYBOS TECHNOLOGIJŲ PROGRAMA

VERSLO IR VADYBOS TECHNOLOGIJŲ PROGRAMA PATVIRTINTA Lietuvos Respublikos švietimo ir mokslo ministro 2007 m. rugsėjo 6 d. įsakymu Nr. ISAK-1790 VERSLO IR VADYBOS TECHNOLOGIJŲ BENDROJI PROGRAMA MOKINIAMS, BESIMOKANTIEMS PAGAL VIDURINIO UGDYMO

Detaliau

Layout 1

Layout 1 Kvalifikacijos kėlimo kursų programos Pneumatika Pneumatikos pagrindai mašinų operatoriams P100 Suteikite savo mašinų operatoriams įgūdžių optimalaus darbinio slėgio nustatymui, oro pratekėjimų (nuostolių)

Detaliau

Projektas „Europos kreditų perkėlimo ir kaupimo sistemos (ECTS) nacionalinės koncepcijos parengimas: kreditų harmonizavimas ir mokymosi pasiekimais gr

Projektas „Europos kreditų perkėlimo ir kaupimo sistemos (ECTS) nacionalinės koncepcijos parengimas: kreditų harmonizavimas ir mokymosi pasiekimais gr Studijų programos aprašas Studijų programos pavadinimas Informatika Aukštojo mokslo institucija (-os), padalinys (-iai) Vilniaus universitetas, Matematikos ir informatikos fakultetas, Informatikos katedra

Detaliau

Projektas PATVIRTINTA Alytaus Sakalėlio pradinės mokyklos direktoriaus įsakymu Nr. V- ALYTAUS SAKALĖLIO PRADINĖS MOKYKLOS ELEKTRONINIO DIENYNO T

Projektas PATVIRTINTA Alytaus Sakalėlio pradinės mokyklos direktoriaus įsakymu Nr. V- ALYTAUS SAKALĖLIO PRADINĖS MOKYKLOS ELEKTRONINIO DIENYNO T PATVIRTINTA Alytaus Sakalėlio pradinės mokyklos direktoriaus 2019- įsakymu Nr. V- ALYTAUS SAKALĖLIO PRADINĖS MOKYKLOS ELEKTRONINIO DIENYNO TVARKYMO NUOSTATAI I SKYRIUS BENDROSIOS NUOSTATOS 1. Alytaus Sakalėlio

Detaliau

PATVIRTINTA

PATVIRTINTA PATVIRTINTA VDU Rasos gimnazijos Visuotinio dalininkų susirinkimo 2018 m. gegužės 17 d. protokolu Nr. DSP-04 ASMENŲ PRIĖMIMO Į VYTAUTO DIDŽIOJO UNIVERSITETO RASOS GIMNAZIJĄ KRITERIJŲ IR KLASIŲ KOMPLEKTAVIMO

Detaliau

4 skyrius Algoritmai grafuose 4.1. Grafų teorijos uždaviniai Grafai Tegul turime viršūnių aibę V = { v 1,v 2,...,v N } (angl. vertex) ir briaun

4 skyrius Algoritmai grafuose 4.1. Grafų teorijos uždaviniai Grafai Tegul turime viršūnių aibę V = { v 1,v 2,...,v N } (angl. vertex) ir briaun skyrius Algoritmai grafuose.. Grafų teorijos uždaviniai... Grafai Tegul turime viršūnių aibę V = { v,v,...,v N (angl. vertex) ir briaunų aibę E = { e,e,...,e K, briauna (angl. edge) yra viršūnių pora ej

Detaliau

Microsoft Word - T-Krivousas_magistrinis.doc

Microsoft Word - T-Krivousas_magistrinis.doc KAUNO TECHNOLOGIJOS UNIVERSITETAS INFORMATIKOS FAKULTETAS PROGRAMŲ INŽINERIJOS KATEDRA Tomas Krivoūsas Verifikavimo algoritmų panaudojimas analizuojant formalių PLA specifikacijų teisingumą Magistro darbas

Detaliau

EUROPOS KOMISIJA Briuselis, C(2017) 4679 final KOMISIJOS ĮGYVENDINIMO SPRENDIMAS (ES) / dėl bendros sistemos techninių standa

EUROPOS KOMISIJA Briuselis, C(2017) 4679 final KOMISIJOS ĮGYVENDINIMO SPRENDIMAS (ES) / dėl bendros sistemos techninių standa EUROPOS KOMISIJA Briuselis, 2017 07 11 C(2017) 4679 final KOMISIJOS ĮGYVENDINIMO SPRENDIMAS (ES) /... 2017 07 11 dėl bendros sistemos techninių standartų ir formatų, kad EURES portale būtų galima susieti

Detaliau

RR-GSM_IM_LT_110125

RR-GSM_IM_LT_110125 Retransliatorius RR-GSM Įrengimo instrukcija Draugystės g. 17, LT-51229 Kaunas El. p.: info@trikdis.lt www.trikdis.lt Retransliatorius RR-GSM perduoda priimtus pranešimus į centralizuoto stebėjimo pultą

Detaliau

Lietuvos mokslo ir studijų institucijų kompiuterių tinklas LITNET Vilniaus universitetas Mokslininko darbo vietos paslauga Paslaugos naudojimo instruk

Lietuvos mokslo ir studijų institucijų kompiuterių tinklas LITNET Vilniaus universitetas Mokslininko darbo vietos paslauga Paslaugos naudojimo instruk Lietuvos mokslo ir studijų institucijų kompiuterių tinklas LITNET Vilniaus universitetas Mokslininko darbo vietos paslauga Paslaugos naudojimo instrukcija Paslauga sukurta vykdant Europos socialinio fondo

Detaliau

* # * # # 1 TIESĖS IR PLOKŠTUMOS 1 1 Tiesės ir plokštumos 1.1 Lygtys ir taškų aibės Sferos lygtis Tarkime, kad erdvėje apibrėžta Dekarto stačiak

* # * # # 1 TIESĖS IR PLOKŠTUMOS 1 1 Tiesės ir plokštumos 1.1 Lygtys ir taškų aibės Sferos lygtis Tarkime, kad erdvėje apibrėžta Dekarto stačiak 1 TIESĖS IR PLOKŠTUMOS 1 1 Tiesės ir plokštumos 1.1 Lygtys ir taškų aibės 1.1.1 Sferos lygtis Tarkime kad erdvėje apibrėžta Dekarto stačiakampė koordinačių sistema Sfera su centru taške ir spinduliu yra

Detaliau

DĖL APLINKOS IR SVEIKATOS MOKSLO KOMITETO ĮSTEIGIMO

DĖL APLINKOS IR SVEIKATOS MOKSLO KOMITETO ĮSTEIGIMO LIETUVOS RESPUBLIKOS SVEIKATOS APSAUGOS MINISTRAS ĮSAKYMAS DĖL LIETUVOS RESPUBLIKOS SVEIKATOS APSAUGOS MINISTRO 011 M. KOVO D. ĮSAKYMO NR. V-199 DĖL LIETUVOS HIGIENOS NORMOS HN 80:011 ELEKTROMAGNETINIS

Detaliau

Privalomai pasirenkamas istorijos modulis istorija aplink mus I dalis _suredaguotas_

Privalomai pasirenkamas istorijos modulis istorija aplink mus I dalis  _suredaguotas_ P R O J E K T A S VP1-2.2-ŠMM-04-V-01-001 MOKYMOSI KRYPTIES PASIRINKIMO GALIMYBIŲ DIDINIMAS 14-19 METŲ MOKINIAMS, II ETAPAS: GILESNIS MOKYMOSI DIFERENCIJAVIMAS IR INDIVIDUALIZAVIMAS, SIEKIANT UGDYMO KOKYBĖS,

Detaliau

LIETUVOS ITS POLITIKA IR ĮGYVENDINIMAS: STATUS QUO IR ESMINIAI POKYČIAI FORMUOJANT ITS DARBOTVARKĘ GRAŽVYDAS JAKUBAUSKAS Konferencija Intelektinės tra

LIETUVOS ITS POLITIKA IR ĮGYVENDINIMAS: STATUS QUO IR ESMINIAI POKYČIAI FORMUOJANT ITS DARBOTVARKĘ GRAŽVYDAS JAKUBAUSKAS Konferencija Intelektinės tra LIETUVOS ITS POLITIKA IR ĮGYVENDINIMAS: STATUS QUO IR ESMINIAI POKYČIAI FORMUOJANT ITS DARBOTVARKĘ GRAŽVYDAS JAKUBAUSKAS Konferencija Intelektinės transporto sistemos ir išmaniosios technologijos transporte

Detaliau

Atviro konkurso sąlygų 4 priedas BENDROJO PAGALBOS CENTRO INFORMACINĖS SISTEMOS APTARNAVIMO PASLAUGŲ TEIKIMO SPECIFIKACIJA 1. Pirkimo objektas Bendroj

Atviro konkurso sąlygų 4 priedas BENDROJO PAGALBOS CENTRO INFORMACINĖS SISTEMOS APTARNAVIMO PASLAUGŲ TEIKIMO SPECIFIKACIJA 1. Pirkimo objektas Bendroj Atviro konkurso sąlygų 4 priedas BENDROJO PAGALBOS CENTRO INFORMACINĖS SISTEMOS APTARNAVIMO PASLAUGŲ TEIKIMO SPECIFIKACIJA 1. Pirkimo objektas Bendrojo pagalbos centro informacinės sistemos BPCIS, esančios

Detaliau

Banko_paslaugu_internetu_teikimo_salygos_

Banko_paslaugu_internetu_teikimo_salygos_ Banko paslaugų internetu teikimo sąlygos 1. Banko paslaugos internetu tai AB SEB banko (toliau Bankas) ir SEB grupės įmonių, kurioms atstovauja Bankas ar kurios naudojasi Banko paslaugų internetu sistema,

Detaliau

P. Kasparaitis. Praktinė informatika. Skriptų vykdymas ir duomenų valdymas Skriptų vykdymas ir duomenų valdymas Įvadas Skripto failas tai M

P. Kasparaitis. Praktinė informatika. Skriptų vykdymas ir duomenų valdymas Skriptų vykdymas ir duomenų valdymas Įvadas Skripto failas tai M Skriptų vykdymas ir duomenų valdymas Įvadas Skripto failas tai MATLAB komandų seka, vadinama programa, įrašyta į failą. Vykdant skripto failą įvykdomos jame esančios komandos. Bus kalbama, kaip sukurti

Detaliau

Microsoft Word - DV_Rekomendacijos2

Microsoft Word - DV_Rekomendacijos2 DOKUMENTŲ VALDYMO FUNKCIJOS EFEKTYVAUS ATLIKIMO REKOMENDACIJOS I. BENDROSIOS NUOSTATOS Dokumentų valdymo funkcijos efektyvaus atlikimo rekomendacijų (toliau Rekomendacijos) tikslas nustatyti valstybės

Detaliau

Individualus projektas Programa TE-PM, TE-PS, TE-SL, TEstream 4, TEstream 6, TEstream 8, TEstreamOBD 4, TEstreamOBD 6, TEstreamOBD 8 sistemų naudotoja

Individualus projektas Programa TE-PM, TE-PS, TE-SL, TEstream 4, TEstream 6, TEstream 8, TEstreamOBD 4, TEstreamOBD 6, TEstreamOBD 8 sistemų naudotoja Individualus projektas Programa TE-PM, TE-PS, TE-SL, TEstream 4, TEstream 6, TEstream 8, TEstreamOBD 4, TEstreamOBD 6, TEstreamOBD 8 sistemų naudotojams Alternatyvus valdymo pultas telefone ViPGaS programos

Detaliau

Logines funkcijos termu generavimo algoritmas pagristas funkciniu modeliu

Logines funkcijos termu generavimo algoritmas pagristas funkciniu modeliu KAUNO TECHNOLOGIJOS UNIVERSITETAS INFORMATIKOS FAKULTETAS PROGRAMŲ INŽINERIJOS KATEDRA Tomas Žemaitis LOGINĖS FUNKCIJOS TERMŲ GENERAVIMO ALGORITMAS PAGRĮSTAS PROGRAMINIO PROTOTIPO MODELIU Magistro darbas

Detaliau

(Pasiūlymų dėl projektų atrankos kriterijų nustatymo ir keitimo forma) PASIŪLYMAI DĖL PROJEKTŲ ATRANKOS KRITERIJŲ NUSTATYMO IR KEITIMO 2017 m. lapkrič

(Pasiūlymų dėl projektų atrankos kriterijų nustatymo ir keitimo forma) PASIŪLYMAI DĖL PROJEKTŲ ATRANKOS KRITERIJŲ NUSTATYMO IR KEITIMO 2017 m. lapkrič (Pasiūlymų dėl projektų atrankos kriterijų nustatymo ir keitimo forma) PASIŪLYMAI DĖL PROJEKTŲ ATRANKOS KRITERIJŲ NUSTATYMO IR KEITIMO 2017 m. lapkričio d. FORMAI PRITARTA 2014-2020 m. Europos Sąjungos

Detaliau

Algoritmai ir duomenų struktūros (ADS) 7 paskaita Saulius Ragaišis, VU MIF

Algoritmai ir duomenų struktūros (ADS) 7 paskaita Saulius Ragaišis, VU MIF Algoritmai ir duomenų struktūros (ADS) 7 paskaita Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt 2015-04-13 Grafai Grafas aibių pora (V, L). V viršūnių (vertex) aibė, L briaunų (edge) aibė Briauna

Detaliau

UAB AMEA Business Solutions Praktiniai IT Sprendimai smulkioms ir vidutin ms mon ms Direktor, Jurgita Vitkauskait , K

UAB AMEA Business Solutions Praktiniai IT Sprendimai smulkioms ir vidutin ms mon ms Direktor, Jurgita Vitkauskait , K UAB AMEA Business Solutions Praktiniai IT Sprendimai smulkioms ir vidutin ms mon ms Direktor, Jurgita Vitkauskait j.vitkauskaite@amea.lt 2011.02.17, Kaunas +370 698 13330 Apie mus UAB AMEA Business Solutions

Detaliau

Techninė dokumentacija Qlik Sense architektūros apžvalga 2015 m. gruodis qlik.com

Techninė dokumentacija Qlik Sense architektūros apžvalga 2015 m. gruodis qlik.com Techninė dokumentacija Qlik Sense architektūros apžvalga 2015 m. gruodis qlik.com Platforma Qlik Sense tai analitikos platforma, naudojanti asociatyvinį analitikos variklį operatyvinėje atmintyje. Remiantis

Detaliau

10 Pratybos Oleg Lukašonok 1

10 Pratybos Oleg Lukašonok 1 10 Pratybos Oleg Lukašonok 1 2 Tikimybių pratybos 1 Lema Lema 1. Tegul {Ω, A, P} yra tikimybinė erdvė. Jeigu A n A, n N, tai i) P (lim sup A n ) = P ( k=1 n=k A n ) = lim P ( n k n=ka n ), nes n=ka n monotoniškai

Detaliau

metų Europos Sąjungos fondų investicijų veiksmų programos 3 prioriteto Smulkiojo ir vidutinio verslo konkurencingumo skatinimas priemonės Nr

metų Europos Sąjungos fondų investicijų veiksmų programos 3 prioriteto Smulkiojo ir vidutinio verslo konkurencingumo skatinimas priemonės Nr 2014 2020 metų Europos Sąjungos fondų investicijų veiksmų programos 3 prioriteto Smulkiojo ir vidutinio verslo konkurencingumo skatinimas priemonės 03.3.2-LVPA-K-832 Eco-inovacijos LT projektų finansavimo

Detaliau

DBVS realizavimas Pagrindiniai DBVS komponentai Duomenų saugojimas diske Paruošė J.Skučas

DBVS realizavimas Pagrindiniai DBVS komponentai Duomenų saugojimas diske Paruošė J.Skučas DBVS realizavimas Pagrindiniai DBVS komponentai Duomenų saugojimas diske Paruošė J.Skučas Seminaro tikslai Trumpai apžvelgti pagrindinius DBVS komponentus Detaliai nagrinėjami optimalaus duomenų dėstymo

Detaliau

PATVIRTINTA Valstybinės kainų ir energetikos kontrolės komisijos pirmininko 2017 m. d. įsakymu Nr. O1- VALSTYBINĖS KAINŲ IR ENERGETIKOS KONTROLĖS KOMI

PATVIRTINTA Valstybinės kainų ir energetikos kontrolės komisijos pirmininko 2017 m. d. įsakymu Nr. O1- VALSTYBINĖS KAINŲ IR ENERGETIKOS KONTROLĖS KOMI PATVIRTINTA Valstybinės kainų ir energetikos kontrolės komisijos pirmininko 2017 m. d. įsakymu Nr. O1- VALSTYBINĖS KAINŲ IR ENERGETIKOS KONTROLĖS KOMISIJOS ELEKTROS ENERGIJOS KAINŲ PALYGINIMO INFORMACINĖS

Detaliau

Microsoft Word - SDH2.doc

Microsoft Word - SDH2.doc PATVIRTINTA AB Lietuvos geleţinkeliai Geleţinkelių infrastruktūros direkcijos direktoriaus 2009-11-30 įsakymu Nr. Į (DI-161) SDH SĄSAJOS TECHNINIS APRAŠAS TURINYS I. BENDROJI DALIS... 4 II. TAIKYMO SRITIS...

Detaliau

PATVIRTINTA Kauno lopšelio darželio Vaikystė direktoriaus 2015 m. spalio 26 d. įsakymu Nr. V-74 KAUNO LOPŠELIO DARŽELIO VAIKYSTĖ VAIZDO DUOMENŲ TVARKY

PATVIRTINTA Kauno lopšelio darželio Vaikystė direktoriaus 2015 m. spalio 26 d. įsakymu Nr. V-74 KAUNO LOPŠELIO DARŽELIO VAIKYSTĖ VAIZDO DUOMENŲ TVARKY PATVIRTINTA Kauno lopšelio darželio Vaikystė direktoriaus 2015 m. spalio 26 d. įsakymu Nr. V-74 KAUNO LOPŠELIO DARŽELIO VAIKYSTĖ VAIZDO DUOMENŲ TVARKYMO TAISYKLĖS I SKYRIUS BENDROSIOS NUOSTATOS 1. Vaizdo

Detaliau

Tvarka pakeista Tarybos sprendimu Nr

Tvarka pakeista Tarybos sprendimu Nr NUSTATYTA Generolo Povilo Plechavičiaus kadetų licėjaus visuotinio dalininkų susirinkimo 2019 m. kovo 6 d. protokolu Nr. 3-3 MOKINIŲ PRIĖMIMO Į GENEROLO POVILO PLECHAVIČIAUS KADETŲ LICĖJŲ KRITERIJŲ IR

Detaliau

Projektas LIETUVOS RESPUBLIKOS RYŠIŲ REGULIAVIMO TARNYBOS DIREKTORIUS ĮSAKYMAS DĖL RADIJO RYŠIO PLĖTROS MHz RADIJO DAŽNIŲ JUOSTOJE PLANO PAT

Projektas LIETUVOS RESPUBLIKOS RYŠIŲ REGULIAVIMO TARNYBOS DIREKTORIUS ĮSAKYMAS DĖL RADIJO RYŠIO PLĖTROS MHz RADIJO DAŽNIŲ JUOSTOJE PLANO PAT Projektas LIETUVOS RESPUBLIKOS RYŠIŲ REGULIAVIMO TARNYBOS DIREKTORIUS ĮSAKYMAS DĖL RADIJO RYŠIO PLĖTROS 3400 3800 MHz RADIJO DAŽNIŲ JUOSTOJE PLANO PATVIRTINIMO 2019 m. d. Nr. 1V- Vilnius Vadovaudamasis

Detaliau

Slide 1

Slide 1 Duomenų struktūros ir algoritmai 2 paskaita 2019-02-13 Algoritmo sąvoka Algoritmas tai tam tikra veiksmų seka, kurią reikia atlikti norint gauti rezultatą. Įvesties duomenys ALGORITMAS Išvesties duomenys

Detaliau

LIETUVOS ŽEMĖS ŪKIO UNIVERSITETAS

LIETUVOS ŽEMĖS ŪKIO UNIVERSITETAS ALEKSANDRO STULGINSKIO UNIVERSITETAS Agronomijos fakultetas Žemdirbystės katedra STUDIJŲ DALYKO APRAŠAS Dalyko kodas: AFŽEB07E Pavadinimas lietuvių kalba: Mokslinių tyrimų metodika Pavadinimas anglų kalba:

Detaliau

PS Testavimo ir konfigūravimo valdymas Užduotis nr. 1. Karolis Brazauskas Mindaugas Rekevičius Jonas Riliškis Eugenijus Sabaliauskas

PS Testavimo ir konfigūravimo valdymas Užduotis nr. 1. Karolis Brazauskas Mindaugas Rekevičius Jonas Riliškis Eugenijus Sabaliauskas PS Testavimo ir konfigūravimo valdymas Užduotis nr. 1. Karolis Brazauskas Mindaugas Rekevičius Jonas Riliškis Eugenijus Sabaliauskas 2014-10-01 IT Kompanija Dirbame pagal užsakymus, daugiausiai 2 projektai

Detaliau

LIETUVOS RESPUBLIKOS AZARTINIŲ LOŠIMŲ ĮSTATYMO NR. IX-325 2, 10, 15, 16, 29 STRAIPSNIŲ PAKEITIMO IR ĮSTATYMO PAPILDYMO 15 1, 16 1 STRAIPSNIAIS ĮSTATYM

LIETUVOS RESPUBLIKOS AZARTINIŲ LOŠIMŲ ĮSTATYMO NR. IX-325 2, 10, 15, 16, 29 STRAIPSNIŲ PAKEITIMO IR ĮSTATYMO PAPILDYMO 15 1, 16 1 STRAIPSNIAIS ĮSTATYM LIETUVOS RESPUBLIKOS AZARTINIŲ LOŠIMŲ ĮSTATYMO NR. IX-325 2, 10, 15, 16, 29 STRAIPSNIŲ PAKEITIMO IR ĮSTATYMO PAPILDYMO 15 1, 16 1 STRAIPSNIAIS ĮSTATYMAS 2017 m. lapkričio 21 d. Nr. XIII-771 Vilnius 1 straipsnis.

Detaliau

Microsoft Word - 15_paskaita.doc

Microsoft Word - 15_paskaita.doc 15 PASKAITA Turinys: Išimtys Išimtys (exceptions) programos vykdymo metu kylančios klaidingos situacijos, nutraukiančios programos darbą (pavyzdžiui, dalyba iš nulio, klaida atveriant duomenų failą, indekso

Detaliau

PowerPoint Presentation

PowerPoint Presentation Algoritmai ir duomenų struktūros (ADS) 13 paskaita Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt 2018-05-14 Šaltinis Paskaita parengta pagal William Pugh Skip Lists: A Probabilistic Alternative to

Detaliau

Masyvas su C++ Užduotys. Išsiaiškinkite kodą (jei reikia pataisykite) ir paleiskite per programą. Ciklo skaitliuko įrašymas į vienmatį masyvą: #includ

Masyvas su C++ Užduotys. Išsiaiškinkite kodą (jei reikia pataisykite) ir paleiskite per programą. Ciklo skaitliuko įrašymas į vienmatį masyvą: #includ Masyvas su C++ Užduotys. Išsiaiškinkite kodą (jei reikia pataisykite) ir paleiskite per programą. Ciklo skaitliuko įrašymas į vienmatį masyvą: #include main() int mas[100]; int k; for (int

Detaliau

LIETUVOS JAUNŲJŲ MATEMATIKŲ MOKYKLA 7. PAPRASČIAUSIOS DIFERENCIALINĖS LYGTYS ( ) Teorinę medžiagą parengė ir septintąją užduotį sudarė prof. d

LIETUVOS JAUNŲJŲ MATEMATIKŲ MOKYKLA 7. PAPRASČIAUSIOS DIFERENCIALINĖS LYGTYS ( ) Teorinę medžiagą parengė ir septintąją užduotį sudarė prof. d LIETUVOS JAUNŲJŲ MATEMATIKŲ MOKYKLA 7 PAPRASČIAUSIOS DIFERENIALINĖS LYGTYS (07 09) Teorinę medžiagą parengė ir septintąją užduotį sudarė prof dr Eugenijus Stankus Diferencialinės lygtys taikomos sprendžiant

Detaliau

PowerPoint Presentation

PowerPoint Presentation Duomenų archyvai ir mokslo duomenų valdymo planai 2018-06-13 1 Re3Data duomenų talpyklų registras virš 2000 mokslinių tyrimų duomenų talpyklų; talpyklos paiešką galima atlikti pagal mokslo kryptį, šalį,

Detaliau

Slide 1

Slide 1 Projektų Elektroninių sąskaitų faktūrų posistemio (i.saf) sukūrimas ir Elektroninių važtaraščių posistemio (i.vaz) sukūrimas eiga. Geroji praktika Virginija Ginevičienė i.saf ir i.vaz projektų vadovė Mokestinių

Detaliau

A. Merkys ASOCIACIJA LANGAS Į ATEITĮ, 2015 m. Elektroninis mokymasis Tikriausiai šiais laikais daugelis esate girdėję apie elektroninį bei nuotolinį m

A. Merkys ASOCIACIJA LANGAS Į ATEITĮ, 2015 m. Elektroninis mokymasis Tikriausiai šiais laikais daugelis esate girdėję apie elektroninį bei nuotolinį m A. Merkys ASOCIACIJA LANGAS Į ATEITĮ, 2015 m. Elektroninis mokymasis Tikriausiai šiais laikais daugelis esate girdėję apie elektroninį bei nuotolinį mokymą(si) ar net jį išbandę. Jis taikomas ne tik išsivysčiusiose

Detaliau

PowerPoint Presentation

PowerPoint Presentation Algoritmai ir duomenų struktūros (ADS) 15 paskaita Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt 2018-05-28 Grįžtamasis ryšys Ačiū visiems dalyvavusiems Daug pagyrimų Ačiū, bet jie nepadeda tobulėti.

Detaliau

Kontrolinis klausimynas_VATESI_Nr6

Kontrolinis klausimynas_VATESI_Nr6 PATVIRTINTA Valstybinės atominės energetikos saugos inspekcijos viršininko 2019 m. liepos 22 d. įsakymu Nr. 22.3-170 (Branduolinės energetikos objekto saugai svarbių konstrukcijų, sistemų ir komponentų

Detaliau

AB FREDA

AB FREDA PATVIRTINTA Kauno technologijos universiteto inžinerijos licėjaus direktoriaus 2018 m. rugpjūčio 31 d. įsakymu Nr. V-173 KAUNO TECHNOLOGIJOS UNIVERSITETO INŽINERIJOS LICĖJAUS VAIZDO DUOMENŲ TVARKYMO TAISYKLĖS

Detaliau

A D M I N I S T R A C I N I S P A S T A T A S S Ė L I Ų G. 4 8, V I L N I U J E A I Š K I N A M A S I S R A Š T A S

A D M I N I S T R A C I N I S P A S T A T A S S Ė L I Ų G. 4 8, V I L N I U J E A I Š K I N A M A S I S R A Š T A S A D M I N I S T R A C I N I S P A S T A T A S S Ė L I Ų G. 4 8, V I L N I U J E A I Š K I N A M A S I S R A Š T A S PASTATO IR SKLYPO BENDRIEJI RODIKLIAI SKLYPO PLOTAS 0,1253 ha UŽSTATYMO PLOTAS 744.19

Detaliau

_SGD_SPRENDINIAI TARYBAI_AR SANTRAUKA_12005

_SGD_SPRENDINIAI TARYBAI_AR SANTRAUKA_12005 1. ĮVADAS Suskystintųjų gamtinių dujų (toliau SkGD) terminalo, susijusios infrastruktūros ir dujotiekio statybos specialiojo teritorijų planavimo dokumentas rengiamas vadovaujantis Lietuvos Respublikos

Detaliau

Dažniausios IT VBE klaidos

Dažniausios IT VBE klaidos Dažniausios IT VBE klaidos Renata Burbaitė renata.burbaite@gmail.com Kauno technologijos universitetas, Panevėžio Juozo Balčikonio gimnazija 1 Egzamino matrica (iš informacinių technologijų brandos egzamino

Detaliau

DB sukūrimas ir užpildymas duomenimis

DB sukūrimas ir užpildymas duomenimis DB sukūrimas ir užpildymas duomenimis Duomenų bazės kūrimas Naujas bendrąsias DB kuria sistemos administratorius. Lokalias DB gali kurti darbo stoties vartotojasadministratorius. DB kuriama: kompiuterio

Detaliau

Europos Sąjunga Europos Sąjungos oficialiojo leidinio priedo leidinys 2, rue Mercier, 2985 Luxembourg, Liuksemburgas Faksas: El. paš

Europos Sąjunga Europos Sąjungos oficialiojo leidinio priedo leidinys 2, rue Mercier, 2985 Luxembourg, Liuksemburgas Faksas: El. paš Europos Sąjunga Europos Sąjungos oficialiojo leidinio priedo leidinys 2, rue Mercier, 2985 Luxembourg, Liuksemburgas +352 29 29 42 670 ojs@publications.europa.eu Informacija ir elektroninės formos: http://simap.europa.eu

Detaliau

PowerPoint Presentation

PowerPoint Presentation Procesų skaitmenizavimas gamybinėje įmonėje. MANTINGOS patirtis DUONOS, UŽKANDŽIŲ IR ŠALDYTŲ GAMINIŲ KOMPANIJA INFOBALT 2019.03.20 PRANEŠĖJAS Audrius Grybauskas, UAB Columbus Lietuva konsultantas Mob.:

Detaliau

VALSTYBINIO SOCIALINIO DRAUDIMO FONDO VALDYBOS

VALSTYBINIO SOCIALINIO DRAUDIMO FONDO VALDYBOS VALSTYBINIO SOCIALINIO DRAUDIMO FONDO VALDYBOS PRIE SOCIALINĖS APSAUGOS IR DARBO MINISTERIJOS DIREKTORIAUS Į S A K Y M A S DĖL ELEKTRONINĖS DRAUDĖJŲ APTARNAVIMO SISTEMOS NAUDOJIMO TAISYKLIŲ PATVIRTINIMO

Detaliau

9 paskaita 9.1 Erdvės su skaliarine daugyba Šiame skyriuje nagrinėsime abstrakčias tiesines erdves, kurioms apibrėžta skaliarinė daugyba. Jos sudaro l

9 paskaita 9.1 Erdvės su skaliarine daugyba Šiame skyriuje nagrinėsime abstrakčias tiesines erdves, kurioms apibrėžta skaliarinė daugyba. Jos sudaro l 9 paskaita 9.1 Erdvės su skaliarine daugyba Šiame skyriuje nagrinėsime abstrakčias tiesines erdves, kurioms apibrėžta skaliarinė daugyba. Jos sudaro labai svarbu normuotu ju erdviu šeimos pošeimį. Pilnosios

Detaliau

File Transfer programinės įrangos naudotojo instrukcija

File Transfer programinės įrangos naudotojo instrukcija File Transfer programinės įrangos naudotojo instrukcija Rinkmenos dalių įkėlimas naudojantis i.saf-t FileTransfer FileTransfer programinė įranga (toliau - FileTransfer PĮ) skirta didelės apimties rinkmenos

Detaliau

Skęstančiųjų aptikimo ir skendimo prevencijos sistema

Skęstančiųjų aptikimo ir skendimo prevencijos sistema Skęstančiųjų aptikimo ir skendimo prevencijos sistema Ar Jūsų baseinai pakankamai saugūs? SwimEye tai technologiškai pažangi skęstančiųjų aptikimo ir skendimo prevencijos sistema, kurią galima naudoti

Detaliau

Atmintine vezant krovinius i/is Rusijos

Atmintine vezant krovinius i/is Rusijos Atmintin vairuotojui gabenančiam krovinius į/iš Rusijos. 2005 10 25 Atmintin vairuotojui GABENANČIAM KROVINIUS į RUSIJOS FEDERACIJĄ ir/arba iš Rusijos Federacijos I.Pasienio ir transporto kontrol. Kertant

Detaliau

2-ojo VSAFAS Finansinės būklės ataskaita 2 priedas (Žemesniojo lygio viešojo sektoriaus subjektų, išskyrus mokesčių fondus ir išteklių fondus, finansi

2-ojo VSAFAS Finansinės būklės ataskaita 2 priedas (Žemesniojo lygio viešojo sektoriaus subjektų, išskyrus mokesčių fondus ir išteklių fondus, finansi 2-ojo VSAFAS Finansinės būklės ataskaita 2 priedas (Žemesniojo lygio viešojo sektoriaus subjektų, išskyrus mokesčių fondus ir išteklių fondus, finansinės būklės ataskaitos forma) NAUJOSIOS AKMENĖS RAMUČIŲ

Detaliau

GPAIS vartotojo vadovas savivaldybėms GPAIS VARTOTOJO VADOVAS SAVIVALDYBIŲ PILDOMAI INFORMACIJAI GPAIS TURINYS 1. BENDRI DARBO SU GPAIS PRINCIPAI... 2

GPAIS vartotojo vadovas savivaldybėms GPAIS VARTOTOJO VADOVAS SAVIVALDYBIŲ PILDOMAI INFORMACIJAI GPAIS TURINYS 1. BENDRI DARBO SU GPAIS PRINCIPAI... 2 GPAIS VARTOTOJO VADOVAS SAVIVALDYBIŲ PILDOMAI INFORMACIJAI GPAIS TURINYS 1. BENDRI DARBO SU GPAIS PRINCIPAI... 2 1.1 PRISIJUNGIMAS PRIE IŠORINIO PORTALO... 2 2. Savivaldybių ir regiono plėtros tarybų ataskaitos...

Detaliau

ES F ben dri Projekto kodas (Įrašoma automatiškai) 1 PROJEKTO SFMIS DUOMENŲ FORMA FORMAI PRITARTA m. Europos Sąjungos struktūrinės paramos a

ES F ben dri Projekto kodas (Įrašoma automatiškai) 1 PROJEKTO SFMIS DUOMENŲ FORMA FORMAI PRITARTA m. Europos Sąjungos struktūrinės paramos a ES F ben dri 1 PROJEKTO SFMIS DUOMENŲ FORMA FORMAI PRITARTA 2014-2020 m. Europos Sąjungos struktūrinės paramos administravimo darbo grupės, sudarytos Lietuvos Respublikos finansų ministro 2013 m. liepos

Detaliau

Mažeikių r. Tirkšlių darželio „Giliukas“ metinio veiklos vertinimo pokalbio su darbuotoju tvarkos aprašas

Mažeikių r. Tirkšlių darželio „Giliukas“ metinio veiklos vertinimo pokalbio su darbuotoju tvarkos aprašas PATVIRTINTA Mažeikių r. Tirkšlių darželio Giliukas: Direktoriaus 2017 m. vasario 22 d. įsakymu Nr. V1-8 METINIO VEIKLOS VERTINIMO POKALBIO SU DARBUOTOJU TVARKOS APRAŠAS I. SKYRIUS ĮVADINĖ DALIS 1. Metinio

Detaliau

Veiksmų programų administravimo

Veiksmų programų administravimo (Pasiūlymų dėl projektų atrankos kriterijų nustatymo ir keitimo forma) PASIŪLYMAI DĖL PROJEKTŲ ATRANKOS KRITERIJŲ NUSTATYMO IR KEITIMO 2015 m. gegužės 19 d. FORMAI PRITARTA 2014 2020 m. Europos Sąjungos

Detaliau

Rekomendacijos vietinės reikšmės kelių su žvyro danga taisymui

Rekomendacijos vietinės reikšmės kelių su žvyro danga taisymui Rekomendacijos vietinės reikšmės kelių su žvyro danga taisymui LAKD TNT skyriaus vedėjas Evaldas Petrikas Reglamentavimas Automobilių kelių standartizuotų dangų konstrukcijų projektavimo taisyklės KPT

Detaliau

Priedas

Priedas Vilniaus Gedimino technikos universitetas skelbia konkursą išvardintose katedrose ir mokslo padaliniuose užimti šias pareigas: I. GAMTOS IR TECHNOLOGIJOS MOKSLŲ SRITYSE APLINKOS INŽINERIJOS FAKULTETE 1.

Detaliau

Microsoft Word - LE_Sutarties su NEPRIKLAUSOMU ST nariu salygos

Microsoft Word - LE_Sutarties su NEPRIKLAUSOMU ST nariu salygos SUTARTIES DĖL NEPRIKLAUSOMO STEBĖTOJŲ TARYBOS NARIO VEIKLOS SĄLYGOS ATSIŽVELGIANT Į TAI, KAD: (A) Stebėtojų tarybos narys [data] Bendrovės visuotinio akcininkų susirinkimo sprendimu Nr.[...] buvo

Detaliau

NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS Tel El. p. NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS PRIE KRA

NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS Tel El. p.   NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS PRIE KRA PRIE KRAŠTO APSAUGOS MINISTERIJOS RESPUBLIKOS PREZIDENTO IR EUROPOS PARLAMENTO RINKIMŲ KIBERNETINĖS ERDVĖS STEBĖSENOS ATASKAITA 2019 m. gegužės 28 d. Vilnius Santrauka: Respublikos Prezidento ir Europos

Detaliau

PowerPoint Presentation

PowerPoint Presentation PARAIŠKOS DĖL PROJEKTO FINANSAVIMO PILDYMAS IR TEIKIMAS Indrė Dagilienė 2018 m. spalio 25-26 d. Vilnius-Kaunas Paraiškos pildymas Paraiška pildoma vadovaujantis projektų finansavimo sąlygų Aprašo Nr. 4

Detaliau

Algoritmai ir duomenų struktūros (ADS) 2 paskaita Saulius Ragaišis, VU MIF

Algoritmai ir duomenų struktūros (ADS) 2 paskaita Saulius Ragaišis, VU MIF Algoritmai ir duomenų struktūros (ADS) 2 paskaita Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt 2016-02-15 Tiesinės duomenų struktūros Panagrinėsime keletą žinomų ir įvairiuose taikymuose naudojamų

Detaliau

NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS Tel El. p. NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS PRIE KRAŠTO APSA

NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS Tel El. p.   NACIONALINIS KIBERNETINIO SAUGUMO CENTRAS PRIE KRAŠTO APSA PRIE KRAŠTO APSAUGOS MINISTERIJOS SUTRUMPINTAS PRANEŠIMAS APIE KIBERNETINIO INCIDENTO TYRIMĄ NR. 163811 2019 m. balandžio 19 d. Vilnius TLP: WHITE Kibernetinio incidento tyrimo objektas: 2019-04-10 imituotų

Detaliau

Elektroninio dokumento nuorašas UKMERGĖS RAJONO SAVIVALDYBĖS ADMINISTRACIJOS DIREKTORIUS ĮSAKYMAS DĖL NACIONALINIO MOKINIŲ PASIEKIMŲ PATIKRINIMO (DIAG

Elektroninio dokumento nuorašas UKMERGĖS RAJONO SAVIVALDYBĖS ADMINISTRACIJOS DIREKTORIUS ĮSAKYMAS DĖL NACIONALINIO MOKINIŲ PASIEKIMŲ PATIKRINIMO (DIAG Elektroninio dokumento nuorašas UKMERGĖS RAJONO SAVIVALDYBĖS ADMINISTRACIJOS DIREKTORIUS ĮSAKYMAS DĖL NACIONALINIO MOKINIŲ PASIEKIMŲ PATIKRINIMO (DIAGNOSTINIŲ IR STANDARTIZUOTŲ TESTŲ) ORGANIZAVIMO, VYKDYMO

Detaliau

Microsoft Word - Palmolive_Drogas_full_rules_April_2019.doc

Microsoft Word - Palmolive_Drogas_full_rules_April_2019.doc Žaidimo Pirkite bet kurį PALMOLIVE produktą parduotuvėse Drogas ir laimėkite SPA Vilnius dovanų kuponą! rengimo taisyklės: 1. ŽAIDIMO UŽSAKOVAS, ORGAIZATORIUS IR PRIZŲ KOORDINATORIUS 1.1. Žaidimo užsakovas

Detaliau

Microsoft Word - Awalift 80 Manual_LT.doc

Microsoft Word - Awalift 80 Manual_LT.doc Nuotekų perpumpavimo įrenginys su nešmenų atskyrimu Awalift 80 Instaliavimo ir naudojimo instrukcija Puslapis 1 / 9 Bendra informacija Nuotekų perpumpavimo įrenginiai naudojami kai nuotekų vamzdis yra

Detaliau

CarSense 303 M A G N E T I N Ė K I L P A N A U D O J I M O I N S T R U K C I J A

CarSense 303 M A G N E T I N Ė K I L P A N A U D O J I M O I N S T R U K C I J A CarSense 303 M A G N E T I N Ė K I L P A N A U D O J I M O I N S T R U K C I J A Turinys Produkto apžvalga 2 Specifikacija 3 Naudojimas 4 Nustatymai ir indikatoriai 7 Pajungimo kontaktai 8 Gedimų šalinimas

Detaliau

LAISVOS DARBO VIETOS LAZDIJŲ RAJONE IŠSAMESNĘ INFORMACIJĄ APIE LAISVĄ DARBO VIETĄ IR JAI KELIAMUS REIKALAVIMUS JUMS SUTEIKS UŽIMTUMO TARNYBOS SPECIALI

LAISVOS DARBO VIETOS LAZDIJŲ RAJONE IŠSAMESNĘ INFORMACIJĄ APIE LAISVĄ DARBO VIETĄ IR JAI KELIAMUS REIKALAVIMUS JUMS SUTEIKS UŽIMTUMO TARNYBOS SPECIALI LAISVOS DARBO VIETOS LAZDIJŲ RAJONE IŠSAMESNĘ INFORMACIJĄ APIE LAISVĄ DARBO VIETĄ IR JAI KELIAMUS REIKALAVIMUS JUMS SUTEIKS UŽIMTUMO TARNYBOS SPECIALISTAS ARBA RASITE UŽIMTUMO TARNYBOS INTERNETO SVETAINĖJE

Detaliau

PowerPoint Presentation

PowerPoint Presentation Autorių teisės ir plagiato prevencija Jūratė Kuliešienė Daiva Steponavičienė KTU biblioteka Turinys Intelektinė nuosavybė Autorių teisės Plagiato prevencija Intelektinė nuosavybė (IN) Intelektinė nuosavybė

Detaliau

Tiesioginio-debeto-paslaugos-duomenu-apsikeitimo-formatu-aprasas

Tiesioginio-debeto-paslaugos-duomenu-apsikeitimo-formatu-aprasas TIEIOGINIO DEBETO PALAUGO DUOMENŲ APIKEITIMO FORMATŲ APRAŠA Tarp banko ir kliento yra keičiamasi tokio tipo failais: utikimai mokėti tiesioginio debeto būdu, priimti įmonėje (failo plėtinys.dse). o Banko

Detaliau

Sistemos specifikacija

Sistemos specifikacija Finansinių ataskaitų rinkinių teikimo elektroniniu būdu (interaktyviai) Vartotojo vadovas Kaip pateikti finansinių ataskaitų rinkinį el. būdu interaktyviai 2(32) Turinys 1. Įvadas...3 2. Finansinių ataskaitų

Detaliau

Lietuvos mobiliojo ryšio operatorių 30Mbit/s zonų skaičiavimo metodika

Lietuvos mobiliojo ryšio operatorių 30Mbit/s zonų skaičiavimo metodika MOBILIOJO RYŠIO OPERATORIŲ 30 MB/S APRĖPTIES SKAIČIAVIMAI RRT atliktos analizės rezultatų viešas aptarimas, Susisiekimo ministerija 2015 10 19 Lietuvos respublikos ryšių reguliavimo tarnyba Direktoriaus

Detaliau

Baltstogės universiteto Ekonomikos ir informatikos fakulteto Vilniuje veiklos gerinimo planas remiantis Baltstogės universiteto Vilniaus Ekonomikos ir

Baltstogės universiteto Ekonomikos ir informatikos fakulteto Vilniuje veiklos gerinimo planas remiantis Baltstogės universiteto Vilniaus Ekonomikos ir Baltstogės universiteto Ekonomikos ir informatikos fakulteto Vilniuje veiklos gerinimo planas remiantis Baltstogės universiteto Vilniaus Ekonomikos ir informatikos fakulteto veiklos vertinimo ekspertų

Detaliau

PowerPoint Presentation

PowerPoint Presentation Ligita Valalytė /Užimtumo tarnybos direktorė 2018/10/25 Darbo rinkos tendencijos 2018 m. sausio 1 d. registruota 152481 darbo neturinčių Nuo 2010 m. kylanti Lietuvos ekonomika 2017 m. skatino darbo jėgos

Detaliau

Microsoft Word - B AM MSWORD

Microsoft Word - B AM MSWORD 25.11.2014 B8-0286/7 7 1 dalis 1. ragina valstybes nares ir Komisiją d ti tvarias pastangas įgyvendinti esamas taisykles ir užtikrinti, kad jų būtų laikomasi kaip visa apimančios strategijos dalį naikinti

Detaliau

C(2016)7159/F1 - LT (annex)

C(2016)7159/F1 - LT (annex) EUROPOS KOMISIJA Briuselis, 2016 11 11 C(2016) 7159 final ANNEXES 1 to 3 PRIEDAI prie KOMISIJOS DELEGUOTOJO REGLAMENTO kuriuo 2014 m. liepos 23 d. Europos Parlamento ir Tarybos reglamentas (ES) Nr. 909/2014

Detaliau

Microsoft Word - 8 Laboratorinis darbas.doc

Microsoft Word - 8 Laboratorinis  darbas.doc Laboratorinis darbas Nr. 8 MOP (metalo sido puslaidininkio) struktūrų tyrimas aukštadažniu -V charakteristikų metodu Darbo tikslas: 1. Nustatyti puslaidininkio laidumo tipą. 2. Nustatyti legiravimo priemaišų

Detaliau

KAUNO TECHNOLOGIJOS UNIVERSITETO POILSIO NAMŲ „POLITECHNIKA“ VIDAUS TVARKOS TAISYKLĖS

KAUNO TECHNOLOGIJOS UNIVERSITETO POILSIO NAMŲ „POLITECHNIKA“ VIDAUS TVARKOS TAISYKLĖS PATVIRTINTA Kauno technologijos universiteto infrastruktūros direktoriaus 2013 m. rugpjūčio 28 d. potvarkiu Nr. PP-81 KAUNO TECHNOLOGIJOS UNIVERSITETO POILSIO NAMŲ POLITECHNIKA VIDAUS TVARKOS TAISYKLĖS

Detaliau

INSTITUCIJOS, VYKDANČIOS MOKYTOJŲ IR ŠVIETIMO PAGALBĄ TEIKIANČIŲ SPECIALISTŲ KVALIFIKACIJOS TOBULINIMĄ, 2013 METŲ VEIKLOS ĮSIVERTINIMO IŠVADOS 1. Inst

INSTITUCIJOS, VYKDANČIOS MOKYTOJŲ IR ŠVIETIMO PAGALBĄ TEIKIANČIŲ SPECIALISTŲ KVALIFIKACIJOS TOBULINIMĄ, 2013 METŲ VEIKLOS ĮSIVERTINIMO IŠVADOS 1. Inst INSTITUCIJOS, VYKDANČIOS MOKYTOJŲ IR ŠVIETIMO PAGALBĄ TEIKIANČIŲ SPECIALISTŲ KVALIFIKACIJOS TOBULINIMĄ, 2013 METŲ VEIKLOS ĮSIVERTINIMO IŠVADOS 1. Institucijos pavadinimas Kretingos rajono pedagogų švietimo

Detaliau

KAUNO VAIKŲ DARŽELIO RUDNOSIUKAS MOKSLO METŲ IKIMOKYKLINĖS VOVERIUKŲ GRUPĖS UGDYMO PLANAS I. BENDROSIOS NUOSTATOS 1. Kauno vaikų darželio Ru

KAUNO VAIKŲ DARŽELIO RUDNOSIUKAS MOKSLO METŲ IKIMOKYKLINĖS VOVERIUKŲ GRUPĖS UGDYMO PLANAS I. BENDROSIOS NUOSTATOS 1. Kauno vaikų darželio Ru KAUNO VAIKŲ DARŽELIO RUDNOSIUKAS 2017-2018 MOKSLO METŲ IKIMOKYKLINĖS VOVERIUKŲ GRUPĖS UGDYMO PLANAS I. BENDROSIOS NUOSTATOS 1. Kauno vaikų darželio Rudnosiukas ikimokyklinės grupės ugdymo planas reglamentuoja

Detaliau

Autorinė sutartis Nr

Autorinė sutartis Nr UAB INFORMACINIŲ TECHNOLOGIJŲ PASAULIS GENERALINIS DIREKTORIUS TOMAS LEVINSKAS SAULĖS ELEKTRINĖS ĮDIEGIMO KOMERCINIS PASIŪLYMAS 2012.08.21 Kaunas UAB Informacinių technologijų pasaulis Generalinis direktorius

Detaliau

ASMENS DUOMENŲ APSAUGA I. BENDROSIOS NUOSTATOS 1. Taisyklės reglamentuoja Bendrovės ir jos darbuotojų veiksmus, tvarkant Asmens duomenis, naudojant Be

ASMENS DUOMENŲ APSAUGA I. BENDROSIOS NUOSTATOS 1. Taisyklės reglamentuoja Bendrovės ir jos darbuotojų veiksmus, tvarkant Asmens duomenis, naudojant Be ASMENS DUOMENŲ APSAUGA I. BENDROSIOS NUOSTATOS 1. Taisyklės reglamentuoja Bendrovės ir jos darbuotojų veiksmus, tvarkant Asmens duomenis, naudojant Bendrovėje įrengtas automatines ir neautomatines asmens

Detaliau

2013 m. gruodžio 11 d. Europos Parlamento ir Tarybos reglamentas (ES) Nr. 1350/2013, kuriuo iš dalies keičiami tam tikri žemės ūkio ir žuvininkystės s

2013 m. gruodžio 11 d. Europos Parlamento ir Tarybos reglamentas (ES) Nr. 1350/2013, kuriuo iš dalies keičiami tam tikri žemės ūkio ir žuvininkystės s 2013 12 21 Europos Sąjungos oficialusis leidinys L 351/1 I (Įstatymo galią turintys teisės aktai) REGLAMENTAI EUROPOS PARLAMENTO IR TARYBOS REGLAMENTAS (ES) Nr. 1350/2013 2013 m. gruodžio 11 d. kuriuo

Detaliau

(Microsoft Word - Pasiruo\360imas EE 10 KD-1)

(Microsoft Word - Pasiruo\360imas EE 10  KD-1) -as kontrolinis darbas (KD-) Kompleksiniai skaičiai. Algebrinė kompleksinio skaičiaus forma Pagrindinės sąvokos apibrėžimai. Veiksmai su kompleksinio skaičiais. 2. Kompleksinio skaičiaus geometrinis vaizdavimas.

Detaliau

Nexa serija Stūmokliniai ir hidrauliniai dozavimo siurbliai su dviguba diafragma UAB Elega, Žalgirio , Vilnius, LT 08217, Lietuva, Tel:

Nexa serija Stūmokliniai ir hidrauliniai dozavimo siurbliai su dviguba diafragma UAB Elega, Žalgirio , Vilnius, LT 08217, Lietuva, Tel: Nexa serija Stūmokliniai ir hidrauliniai dozavimo siurbliai su dviguba diafragma UAB Elega, Žalgirio 131-211, Vilnius, LT 08217, Lietuva, Tel: +370 5 2 715444; tel./faksas: +370 5 2 715445; mob. tel.:

Detaliau

Brandos egzaminų organizavimas ir vykdymas 2012 m.

Brandos egzaminų organizavimas ir vykdymas 2012 m. BRANDOS EGZAMINŲ ORGANIZAVIMAS IR VYKDYMAS 2012 M. BENDROSIOS NUOSTATOS Brandos egzaminų organizavimo ir vykdymo tvarkos aprašas (toliau Aprašas) reglamentuoja vidurinio ugdymo programos dalykų brandos

Detaliau

Prekių pirkimo pardavimo taisyklės

Prekių pirkimo pardavimo taisyklės Kursų ir seminarų pirkimo pardavimo svetainėje sportoakademija.lt taisyklės 1. Sąvokos 1.1. Pardavėjas Lietuvos Respublikos VĮ Registrų centras, Juridinių asmenų registro Kauno filiale įregistruotas privatusis

Detaliau

Administravimo vadovas SAFTit Pro v3

Administravimo vadovas SAFTit Pro v3 SAF-T IT Pro programos administravimo vadovas Turinys 1. SQL užklausų modifikacija... 2 1.1. Užklausų katalogas ir kaip sukurti nestandartines užklausas... 2 1.2. Užklausų modifikavimas... 2 1.3. Specialieji

Detaliau

LIETUVOS RESPUBLIKOS REGIONINĖS PLĖTROS ĮSTATYMO NR. VIII-1889 PAKEITIMO ĮSTATYMAS 2014 m. rugsėjo 18 d. Nr. XII-1094 Vilnius 1 straipsnis. Lietuvos R

LIETUVOS RESPUBLIKOS REGIONINĖS PLĖTROS ĮSTATYMO NR. VIII-1889 PAKEITIMO ĮSTATYMAS 2014 m. rugsėjo 18 d. Nr. XII-1094 Vilnius 1 straipsnis. Lietuvos R LIETUVOS RESPUBLIKOS REGIONINĖS PLĖTROS ĮSTATYMO NR. VIII-1889 PAKEITIMO ĮSTATYMAS 2014 m. rugsėjo 18 d. Nr. XII-1094 Vilnius 1 straipsnis. Lietuvos Respublikos regioninės plėtros įstatymo Nr. VIII-1889

Detaliau

Kelmės rajono Kražių gimnazija Įmonės kodas , S.Dariaus ir S. Girėno g.2, Kražiai, Kelmės rajonas 2016 m. kovo 18 d. FINANSINIŲ ATASKAITŲ AIŠ

Kelmės rajono Kražių gimnazija Įmonės kodas , S.Dariaus ir S. Girėno g.2, Kražiai, Kelmės rajonas 2016 m. kovo 18 d. FINANSINIŲ ATASKAITŲ AIŠ Kelmės rajono Kražių gimnazija Įmonės kodas 190093592, S.Dariaus ir S. Girėno g.2, Kražiai, Kelmės rajonas 2016 m. kovo 18 d. FINANSINIŲ ATASKAITŲ AIŠKINAMASIS RAŠTAS I. BENDROJI DALIS Kelmės rajono Kražių

Detaliau

AAA.AIEPI.Mokymu_medziaga_MOK_VI_07.Vandens_inventorizacijos_duomenu_tvarkymas.v.0.4

AAA.AIEPI.Mokymu_medziaga_MOK_VI_07.Vandens_inventorizacijos_duomenu_tvarkymas.v.0.4 Informacinės sistemos eksploatacinė dokumentacija AIVIKS MOKYMO MEDŽIAGA 07. Vandens inventorizacijos duomenų tvarkymas Aplinkos apsaugos agentūra Aplinkosauginės informacijos elektroninių paslaugų išvystymas

Detaliau

Ekonomikos inžinerijos studijų programos (valstybinis kodas: 612L10009) specializacijų aprašai Specializacija E-verslo ekonomika Specializaciją kuruoj

Ekonomikos inžinerijos studijų programos (valstybinis kodas: 612L10009) specializacijų aprašai Specializacija E-verslo ekonomika Specializaciją kuruoj Ekonomikos inžinerijos studijų programos (valstybinis kodas: 612L10009) specializacijų aprašai Specializacija E-verslo ekonomika Specializaciją kuruoja Verslo technologijų katedra, Tel.: 8 (5) 2744882,

Detaliau

Microsoft PowerPoint - PREZENTACIJA 05-04_KAUET [Compatibility Mode]

Microsoft PowerPoint - PREZENTACIJA 05-04_KAUET [Compatibility Mode] Daugiaaukštės lengvųjų automobilių saugyklos Sukilėlių pr. 19 b projektiniai pasiūlymai Bendri duomenys Uždaroji akcinė bendrovė EKSPLOIT Lietuvos sveikatos mokslų universiteto ligoninės Kauno klinikos

Detaliau

PRIEINAMAS TURIZMAS-TURIZMAS VISIEMS UNIVERSALUS DIZAINAS: TEORIJA IR PRAKTIKA

PRIEINAMAS TURIZMAS-TURIZMAS VISIEMS  UNIVERSALUS DIZAINAS: TEORIJA IR PRAKTIKA PRIEINAMAS TURIZMAS-TURIZMAS VISIEMS UNIVERSALUS DIZAINAS: TEORIJA IR PRAKTIKA RAMUNĖ STAŠEVIČIŪTĖ ARCHITEKTĖ KU DOCENTĖ 2018.10.18, KLAIPĖDA UNIVERSALUS DIZAINAS TAI TOKS GAMINIŲ IR APLINKOS KŪRIMAS (PROJEKTAVIMAS),

Detaliau