Microsoft Word - Dervinis.doc

Dydis: px
Rodyti nuo puslapio:

Download "Microsoft Word - Dervinis.doc"

Transkriptas

1 ISSN ELEKTRONIKA IR ELEKTROTECHNIKA Nr. 7(49) T 5 MEDICINOS TECHNOLOGIJA Galvos posūkių kotrolės sistemos tyrimas D. Derviis, V. Laurutis Šiaulių uiversitetas Viliaus g. 4, LT 5400 Šiauliai, Lietuva, el.p. ddoatas@cetras.lt Įvadas Orietavimosi erdvėje ematat tyrimai yra svarbūs daugelyje žmogaus veiklos sričių: Ergoomikoje, kuriat žmogaus ir mašios sistemas (svarbu optimaliai įregti darbo vietą, kad žmogui būtų patogiausia. Daugelis operatoriaus veiksmų ilgaiiui atliekami automatiškai, ežiūrit į reikiamą vietą). Aviacijoje ir avigacijoje (arams, pasieriatiems į vadeyo gelmę, lakūams, skredat rūke, kai ėra realių orietyrų). Nustatat žmogaus profesiį tikamumą. Medicioje, diagozuojat kai kurias ligas. Šiame straipsyje orima apžvelgti, kaip tiksliai tiriamasis sugeba atkartoti galvos posūkius tam tikru kampu. Apžvelgę šia tema publikuotus straipsius, padarėme išvadą, kad ši sritis dar epakakamai uodugiai išagriėta, ėra skelbta kiekybiių galvos posūkių erdvėje rodiklių. Didelė šiuo metu atliekamų tyrimų dalis yra skirti žmogaus diamiėms savybėms ustatyti [-7]. Metodika Yra įvairių metodų galvos posūkiams registruoti [8]:. Mechaiis metodas (prie tiriamojo galvos pritvirtiamas lazeris ir jo spidulys registruojamas sužymėtame cilidriiame ekrae, kuriame ustatomas kampas). Šio metodo trūkumai: duomeų egalima tiesiai įvesti į kompiuterį; ribotas tikslumas, jis priklauso uo cilidriio ekrao skersmes. 2. Elektromagetiis metodas taikomas tiek galvos, tiek viso kūo posūkiams tirti. Tam audojamas kubas su ritėmis, kurių aalogiis sigalas verčiamas į skaitmeiį ir rezultatai įrašomi tiesiai į kompiuterį, prie tiriamojo tvirtiama ritė, į kurią siučiamas 40 khz moduliuotas sigalas. Šis metodas tika tik edideliems kampams matuoti (0,5 tikslumu galima matuoti kampus uo 40 iki +40, tikslumu - uo 60 o iki +60 o ). Šio tikslumo užteka galvos posūkius matuoti, tačiau per maža viso kūo posūkiams matuoti. 3. Televiziiu metodu (jį ir audosime tyrimams atlikti) galima tokiu pat tikslumu matuoti 360 kampus. Toliau aptarsime eksperimete audotą metodą. Jo struktūriė schema pavaizduota paveiksle. Skaitmeiė kamera Žeklas pav. Eksperimetiis galvos posūkių kampų matavimo modelis Tiriamasis sodiamas at kėdės, ir jam at galvos pritvirtiamas paveiksle parodytas žeklas. Virš žeklo 5 0 cm atstumu tvirtiama kamera (vaizdas turi būti šiek tiek išfokusuotas, kad programa galėtų rasti bet 9 skirtigo ryškio taškus eilutėje pereiat iš baltos spalvos į juodą). Vaizdas perduodamas į kompiuterį ir apdorojamas specialiai sukurta programa. Gautas kadras aalizuojamas eilutėmis, ieškat vietos, kur balta spalva pereia į juodą. Kiekvieoje eilutėje yra radami dev skirtigo ryškio slekstiiai taškai. Mėlya tiesė Geltoi taškai Raudoi taškai 2 pav. Atpažitos liijos vaizdas programoje Po aproksimacijos ketvirtojo laipsio poliomu kiekvieoje eilutėje gauamos pakoreguotos taško (raudoo) koordiatės bei pirmiiai tiesės taškai (geltoi). Kitu etapu radama tiesės lygtis (3) (mėlya tiesė ): 60

2 y = v0 + vx; () 2 xi xi xi v = = = = 0 = i i i i ; (2) 2 2 x ( x ) i i i= i= xi xi i= i= i= v =. (3) 2 2 xi ( xi ) i= i= Iš jos gauamas tiesės pasvirimo kampas ϕ: ϕ = arctg ( v ). (4) Kad būtų tiksliau, kiekviea padėtis filmuojama ir aalizuojama po 0 kartų (kas 0,2s). Galutiis kampo rezultatas yra dešimties verčių matematiė viltis. Televiziio kampų ustatymo metodo jautrumas Naudojat stacioariai įtaisytą kamerą ir ekspouojat ejudamą žeklą, atlikta 00 badymų. Jų rezultatai pateikiami 3 paveiksle. Badymai buvo atliekami su šešiais tiriamaisiais (2 kairiarakiai ir 4 dešiiarakiai), kurių amžius uo 2 iki 26 metų. Tyrimo rezultatai Eksperimeto pradžioje buvo atliekama keletas badymų. Badyta ustatyti, kokie kampai yra aktualiausi žmogui. Pirmiiais badymais ustatyta, kad žmogus, sėdėdamas prie darbo stalo, atlieka edidelius posūkius apie 0 arba didesius - apie 30. Dėl šios priežasties tyrimas apribotas šiais dviem kampais, tiriamajam pasisukat į kairę ir į dešię pusę. Taip pat rezultatai parodė, kad dešiiarakių ir kairiarakių žmoių posūkiai yra evieodi, dėl šios priežasties dešiiarakių ir kairiarakių duomeys pateikiami atskirai. Kiekvieo tiriamojo 0 galvos posūkio rezultatai atskirai pateikiami 4 ir 5 paveiksluose, kur matyti, kad beveik visi dešiiarakiai ( 4) į kairę galvą pasuka didesiu kampu ei reikia vidutiiškai 3. Didžiausias uokrypis - iki 8 yra 3-io tiriamojo. Kairiarakiai vidutiiškai epasiekia reikiamo kampo 2. Į dešię pusę per daug kairiarakiai ir dešiiarakiai pasukdavo galvą vidutiiškai 4. Visų tiriamųjų paklaidų vidutiis eksperimetiis kvadratiis uokrypis yra paašus ir lygus pav. Metodo jautrumo įvertiimas Maksimali išmatuoto kampo vertė gauta 5,23, miimali 4,92. Apskaičiavę dispersiją, gauame, kad metodo jautrumas yra 0, ; taip pat svarbu, kad jis yra epriklausomas uo kampo. 4 pav. Įvairių tiriamųjų galvos posūkio paklaidos. Tikslo kampas 0 laipsių į dešię; 4 žmoės dešiiarakiai, 5 ir 6 kairiarakiai Galvos posūkių eksperimeto eiga Badymai atliekami į kairę ir į dešię puses su dviem kampais (0 ir 30 ). Pradžioje užsimerkęs tiriamasis pasuka galvą kampu ir bado įsimiti jos padėtį. Po atsipalaidavimo atliekami 9 badymai (tarpuose daromos 3 5s pauzės), kurių metu žmogus bado galvą pasukti tokiu pat kampu. Kiekvieo badymo rezultatas registruojamas ir lygiamas su pirmiiu posūkio kampu. Pradžioje atliekamas kiekvieo žmogaus tyrimas atmerktomis akimis ir įvertiamas sugebėjimas orietuotis matat apliką. Visi kiti badymai atliekami užmerktomis akimis, sudarat tolygų apšvietimą ir aplikos triukšmą (kad šie veiksiai eturėtų įtakos rezultatams). 5 pav. Įvairių tiriamųjų galvos posūkio paklaidos. Tikslo kampas 0 laipsių į kairę; 4 žmoės dešiiarakiai, 5 ir 6 - kairiarakiai 6

3 Tiriamieji, darydami 0 posūkius, dažiausiai pradžioje kampą ustatydavo tiksliau, paklaida eviršydavo ±2 (6 9 pav.). Kiekvieos tolesės fiksacijos metu dešiiarakiai žmoės (6 pav.) į kairę galvą pasukdavo vis daugiau, persukdami iki 8. Į dešię (7 pav.) jie taip pat pasuka galvą per daug. Nors pirmųjų fiksacijų tikslumas siekia, tačiau paklaidos didėja iki 4. Kairiarakiai (8 pav.) paklaidas į kairę daro paašiai kaip ir dešiiarakiai uo iki 6,8 į dešię (9 pav.) pasuka per daug iki 4. Iš grafikų matyti, kad, atliekat badymus mažais kampais, paklaidos didėja ir esistabilizuoja. Progozuoti galvos posūkio beveik eįmaoma. 9 pav. Kairiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 0 laipsių į dešię 6 pav. Dešiiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 0 laipsių į kairę Sukiojat galvą didesiais kampais (30 ) gauami akivaizdžiai kitokie rezultatai: dešiiarakiai (0 pav.) tiriamieji į kairę epasukdavo galvos iki reikiamo kampo 2 3 ir ši paklaida vidutiiškai išsilaikydavo viso badymo metu, ors badymo gale būdavo pastebima iki 6 paklaidų sklaida. Sukdami galvą į dešię ( pav.), paklaidas jie darydavo gerokai mažeses, persukdami iki 2. Kairiarakių rezultatai paašūs, tik tikslesi. Sukat galvą į kairę (2 pav.), pradžioje paklaida būdavo apie 0. Paaši paklaida išsilaiko ir tolesių fiksacijų metu. Į dešię (2 pav.) kairiarakiai galvą persuka uo 2 iki 3. 7 pav. Dešiiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 0 laipsių į dešię 0 pav. Dešiiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 30 laipsių į kairę 8 pav. Kairiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 0 laipsių į kairę pav. Dešiiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 30 laipsių į dešię 62

4 Atliekat badymus atmerktomis akimis, kai tiriamasis žvilgsiu prisisieja prie aplikos elemetų, paklaidos gauamos mažesės. Maksimalūs uokrypiai eviršija 2. Badymų metu pastebėta, kad tiriamieji, atlikdami tuos pačius veiksmus mokosi, t.y. paklaidos mažėja, didiat eksperimetų skaičių. Daroma prielaida, kad, kuriat žmogaus ir mašios sąsajas bei parekat operatorių, svarbu atsižvelgti e tik į bedrus ergoomikos reikalavimus, bet ir idividualias žmogaus savybes, kurias galima ustatyti atliekat testus. Literatūra 2 pav. Kairiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 30 laipsių į kairę 3 pav. Kairiarakių žmoių galvos posūkio paklaidos. Tikslo kampas 30 laipsių į dešię Apibedriat rezultatus, galima pasakyti, kad žmogui pasukti galvą didesiu kampu yra legviau, es iki 20 ejaučiamas galvos raumeų tempimas (propriorecepsiis refleksas), todėl žmogui yra sukiau ustatyti galvos padėtį ir pasukti reikiamu kampu. Ties 30 laipsių riba žmogus pradeda jausti raumeų įtempimą ir taip gali geriau uspręsti, kiek yra pasukta galva. Todėl tokiu atveju galima esukiai progozuoti galvos posūkius, es paklaidos ilgaiiui stabilizuojasi.. Heriques Y.D.; Crawford D.J. Role of eye, head, ad shoulder geometry i the plaig of accurate arm movemets // Joural of europhysiology Apr; 87 (4). P Gdowski T.G.; McCrea A.R. Itegratio of vestibular ad head movemet sigals i the vestibular uclei durig wholebody rotatio. // Joural of europhysiology. 999 Jul; 82 (). P José F. Barraza ad Norberto M. Grzywacz. Measuremet of agular velocity i the perceptio of rotatio // Visio Research. Volume 42, Issue 2, September P Williams A.; Mark N. Head, eye ad arm coordiatio i table teis // Joural of Sports Scieces. Mar2002, Vol. 20 Issue 3. P Smeets JB; Hayhoe MM; Ballard DH. Goal-directed arm movemets chage eye-head coordiatio. // Experimetal brai research. 996 Ju; 09 (3). P Heriques Y.D.; Mededorp P.W.; Kha Z.A.; Crawford D.J. Visuomotor trasformatios for eye-had coordiatio. // Progress i brai research. 2002; 40. P Biguer B; Jeaerod M; Prablac C. The coordiatio of eye, head, ad arm movemets durig reachig at a sigle visual target. // Experimetal brai research. Experimetelle Hirforschug. 982; 46 (2). P Крищюнас К.С. Преобразование эргатической информации. - Вильнюс: Мокслас, Kėvelaitis E., Illert M., Hultbar H. Žmogaus fiziologija. K.: Kauo medicios uiversiteto leidykla, Išvados Galvos pasukimo paklaidos priklauso uo to, ar žmogus yra dešiiarakis, ar kairiarakis, bei uo posūkio kampo dydžio. Pateikta spaudai D. Derviis, V. Laurutis. Galvos posūkių kotrolės sistemos tyrimas // Elektroika ir elektrotechika. Kauas: Techologija, Nr. 7(49). P Žmogaus galvos judesių tikslumas buvo ištirtas televiziiu kampų matavimo metodu. Šis metodas tika 360 apie savo ašį besisukačio kūo posūkio kampui matuoti. Galvos posūkių tyrimo rezultatai atskleidė, kad žmogaus sugebėjimas pasukti galvą tam tikru kampu ematat orietyro priklauso uo tikslo kampo dydžio didėjat kampui didėja ir paklaida; taip pat uo to, ar žmogus yra dešiiarakis ar kairiarakis dešiiarakiai, sukdami galvą į dešię, pasuka daugiau, ei yra duotas kampas, o kairiarakiai reikiamo kampo epasiekia. Kartojat judesius rezultatai gerėja ir tai rodo, kad žmogus gali laviti savo galvos posūkių tikslumą. Il. 3, bibl. 9 ( lietuvių kalba;satraukos lietuvių, aglų, rusų k.). 63

5 D. Derviis, V. Laurutis. The Research of Head Tur Cotrol System // Electroics ad Electrical Egieerig. Kauas: Techologija, No. 7(49). P The accuracy of huma head rotatio was ivestigated by video method for measuremet rotatio agle. This method ca measure rotatio agle i a rage of 360 for rotatig body. Head rotatio results revealed that huma subject ability to rotate head by defied agle, whe subject does t see target, depeds o aim agle size icreasig agle elds larger errors; do the subject is left or right hader whe right hader rotates head to right, he rotates head too much, left hader too little. The improvemet of accuracy was oticed after repeated experimets, this shows, that huma ca trai accuracy of his head rotatios. Ill. 3, bibl. 9 (i Lithuaia; summaries i Lithuaia, Eglish ad Russia). Д. Дeрвинис, В. Лаурутис. Исследование системы контроля поворотов головы // Электроника и электротехника. Каунас: Технология, (49). - С Точностъ движений головы человека исследоваласъ телевизионным методом измерения углов. Этот метод позволяет измерять углы поворота вращающегося тела округ своей оси до 360. Результаты исследований поворота головы показали, что точностъ, не видя ориентира, зависит от величины угла (при увеличиние угла точностъ уменьшается), также от того, является ли человек левшой или нет (левши, поворачивая голову направо, не достигают заданного угла, а остальные превышают этот угол). Повторяя испытания точностъ результатов увеличивается, из чего следует, что человек способен тренировать точность поворотов головы. Ил. 3, библ. 9 (на литовском языке; рефераты на литовском, английском и русском яз.). 64

Isakymas_SMP8_dominavimas

Isakymas_SMP8_dominavimas Projektas LIETUVOS RESPUBLIOS RYŠIŲ REGULIAVIMO TARNYBOS DIRETORIUS ĮSAYMAS DöL ŪIO SUBJETO AB LIETUVOS TELEOMAS, TURINČIO DIDELĘ ĮTAĄ SAMBUČIŲ INICIJAVIMO VIEŠAJAME TELEFONO RYŠIO TINLE, TEIIAMAME FISUOTOJE

Detaliau

TF_Template_Word_Windows_2007

TF_Template_Word_Windows_2007 1-osios auųų moksliikų koferecios Mokslas Lietuvos ateitis temiės koferecios TRANSPORTO INŽINERIJA IR VADYBA, vykusios 018 m. gegužės 4-5 d. Viliue, straipsių rikiys Proceedigs of the 1th Coferece for

Detaliau

6. ŠAKNIES RADIMO ALGORITMAS Istorija. Babiloniečių arba Herono algoritmas. Jau žiloje senovėje reikėjo mokėti traukti kavadratinę šaknį. Yra išlikęs

6. ŠAKNIES RADIMO ALGORITMAS Istorija. Babiloniečių arba Herono algoritmas. Jau žiloje senovėje reikėjo mokėti traukti kavadratinę šaknį. Yra išlikęs 6. ŠAKNIES RADIMO ALGORITMAS Istorija. Babiloiečių arba Heroo algoritmas. Jau žiloje seovėje reikėjo mokėti traukti kavadratię šakį. Yra išlikęs Heroo iš Aleksadrijos gyveusio I mūsų eros amžiuje veikalas

Detaliau

Vigirdas Mackevičius 2. Sekos riba Paskaitu konspektas Intuityviai realiu ju skaičiu seka vadinama realiu ju skaičiu aibė, kurios elementai (vadinami

Vigirdas Mackevičius 2. Sekos riba Paskaitu konspektas Intuityviai realiu ju skaičiu seka vadinama realiu ju skaičiu aibė, kurios elementai (vadinami Vigirdas Mackevičius 2. Sekos riba Paskaitu kospektas Ituityviai realiu seka vadiama realiu aibė, kurios elemetai (vadiami sekos ariais) suumeruoti atūraliaisiais skaičiais (pradedat galbūt e vieetu, o

Detaliau

Microsoft Word - Straipsniai_jaunuju_mokslininku_psl_147_151_Gudelis, Sivilevicius

Microsoft Word - Straipsniai_jaunuju_mokslininku_psl_147_151_Gudelis, Sivilevicius 19-osios jauųjų moksliikų koferecijos Mokslas Lietuvos ateitis temiės koferecijos TRANSPORTO INŽINERIJA IR VADYBA, vykusios 2016 m. gegužės 6 d. Viliuje, straipsių rikiys Proceedigs of the 19th Coferece

Detaliau

Psicholog.Zurn 6.indb

Psicholog.Zurn 6.indb NUO ALKOHOLIO PRIKLAUSOMŲ ASMENŲ SAVĘS VERTINIMAS IR JO KAITA SVEIKSTANT 1 Vytauto Didžiojo uiversitetas, Lietuva Satrauka. Problema. Savęs vertiimas susijęs su daugeliu gyveimo sferų, taip pat ir su polikiu

Detaliau

5.3 TNL sistemos kaip selektyvûs daþniø filtrai

5.3 TNL sistemos kaip selektyvûs daþniø filtrai 7. Saitmeiiai filtrai 7.1. Tiesiės eitačios laie sistemos, aip seletyvieji dažių filtrai TNL sistema paeičia įėjimo sigalo spetrą X (ϖ ) pagal jos dažię reaciją H (ϖ ), ir gauamas išėjimo sigalas su spetru

Detaliau

PowerPoint Presentation

PowerPoint Presentation Algoritmai ir duomenų struktūros (ADS) 13 paskaita Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt 2018-05-14 Šaltinis Paskaita parengta pagal William Pugh Skip Lists: A Probabilistic Alternative to

Detaliau

Programų sistemų inžinerija Saulius Ragaišis, VU MIF

Programų sistemų inžinerija Saulius Ragaišis, VU MIF Programų sistemų inžinerija 2014-02-12 Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt SWEBOK evoliucija Nuo SWEBOK Guide to the Software Engineering Body of Knowledge, 2004 Version. IEEE, 2004. prie

Detaliau

Slide 1

Slide 1 Dalelių filtro metodo ir vizualios odometrijos taikymas BPO lokalizacijai 2014 2018 m. studijos Doktorantas: Rokas Jurevičius Vadovas: Virginijus Marcinkevičius Disertacijos tikslas ir objektas Disertacijos

Detaliau

Slide 1

Slide 1 H2020 Pažangos sklaida ir dalyvavimo plėtra Informacinis renginys, Lietuvos mokslo taryba Živilė Ruželė, zivile.ruzele@lmt.lt 2019 m. birželio 7 d. Turinys 1. Plėtros stipendijos 2. Patarimai Twinning

Detaliau

Priedai_2016.indd

Priedai_2016.indd 1 testo užduočių vertinimo kriterijai Užd. Nr. Sprendimas ar atsakymas Taškai Vertinimas 1 Pasirinktas variantas D 1 Už teisingą atsakymą. 2 a) 939 1 Už teisingą atsakymą. 2 b) 1538 1 Už teisingą atsakymą.

Detaliau

Priedai

Priedai Priedai Priedas Nr. 3 Įvesti duomenys Na- smūgių dažnumas į 1km' Na= 2 v 4 4 C2= 1 - objekto konstrukcija L- objekto ilgis L= 24 C3= 1 - objekto vertė W- objekto plotis W= 12 C4= 1 - žmonių kiekis objekte

Detaliau

Microsoft Word - 8 Laboratorinis darbas.doc

Microsoft Word - 8 Laboratorinis  darbas.doc Laboratorinis darbas Nr. 8 MOP (metalo sido puslaidininkio) struktūrų tyrimas aukštadažniu -V charakteristikų metodu Darbo tikslas: 1. Nustatyti puslaidininkio laidumo tipą. 2. Nustatyti legiravimo priemaišų

Detaliau

Honda GL1800 GOLD WING TOUR Gold Wing Tour Pasirodžius naujausiai legendinio Honda Gold Wing motociklo versijai šis neprilygstamas turistinis motocikl

Honda GL1800 GOLD WING TOUR Gold Wing Tour Pasirodžius naujausiai legendinio Honda Gold Wing motociklo versijai šis neprilygstamas turistinis motocikl Honda GL1800 GOLD WING TOUR Gold Wing Tour Pasirodžius naujausiai legendinio Honda Gold Wing motociklo versijai šis neprilygstamas turistinis motociklas tapo dar universalesnis. Galima sakyti, kad šis

Detaliau

PowerPoint Presentation

PowerPoint Presentation Montažų kūrimas iš skaitmeninių nuotraukų naudojant Windows Photo Story 3 programą Photo Story 3 Priedas Windows XP, Windows 8, Windows 10 Skirtas kurti dinamiškus fotoreportažus iš turimų skaitmeninių

Detaliau

Elektronu igreitejimo stipriame elektriniame lauke itaka fotolaidžios terahercu antenos savybems

Elektronu igreitejimo stipriame elektriniame lauke itaka fotolaidžios terahercu antenos savybems Elektronu igreitejimo stipriame elektriniame lauke itaka fotolaidºios terahercu antenos savybems Gediminas lekas 2019 05 07 VGTU Matematinio Modeliavimo Katedros seminaras 1 / 42 Padeka Podoktorant uros

Detaliau

9 paskaita 9.1 Erdvės su skaliarine daugyba Šiame skyriuje nagrinėsime abstrakčias tiesines erdves, kurioms apibrėžta skaliarinė daugyba. Jos sudaro l

9 paskaita 9.1 Erdvės su skaliarine daugyba Šiame skyriuje nagrinėsime abstrakčias tiesines erdves, kurioms apibrėžta skaliarinė daugyba. Jos sudaro l 9 paskaita 9.1 Erdvės su skaliarine daugyba Šiame skyriuje nagrinėsime abstrakčias tiesines erdves, kurioms apibrėžta skaliarinė daugyba. Jos sudaro labai svarbu normuotu ju erdviu šeimos pošeimį. Pilnosios

Detaliau

n emunas dvisavaitinis kultūros ir meno leidinys Nr. 11 (971) 2016 m. birželio d. Kaina 1,35 Eur Dainų šventė m. Iš A. Burkaus archyvo

n emunas dvisavaitinis kultūros ir meno leidinys Nr. 11 (971) 2016 m. birželio d. Kaina 1,35 Eur Dainų šventė m. Iš A. Burkaus archyvo emuas dvisavaitiis kultūros ir meo leidiys Nr. 11 (971) 2016 m. birželio 16 29 d. Kaia 1,35 Eur Daių švetė. 1928 m. Iš A. Burkaus archyvo 2 retro poezijos pavasaris P.p. Mes vertiame tik išskirtiius daiktus,

Detaliau

PIRKĖJO GIDAS FÄRLÖV sėdimieji baldai MODELIAI Foteliai Kojų kėdutės su daiktadėžėmis Dvivietės sofoslovos Nuimamieji užvalkalai Užvalkalų pasirinkima

PIRKĖJO GIDAS FÄRLÖV sėdimieji baldai MODELIAI Foteliai Kojų kėdutės su daiktadėžėmis Dvivietės sofoslovos Nuimamieji užvalkalai Užvalkalų pasirinkima PIRKĖJO GIDAS FÄRLÖV sėdimieji baldai MODELIAI Foteliai Kojų kėdutės su daiktadėžėmis Dvivietės sofoslovos Nuimamieji užvalkalai Užvalkalų pasirinkimas Skalbiamieji užvalkalai Sofa-lova Kojų kėdutė su

Detaliau

1 Nuostatos „Saikingas alkoholio vartojimas yra kasdienio gyvenimo dalis” vertinimas

1 Nuostatos „Saikingas alkoholio vartojimas yra kasdienio gyvenimo dalis” vertinimas Tyrimo rezultatai Parengė: Valstybinio psichikos sveikatos Priklausomybės ligų skyriaus Vyriausioji specialistė Lina Ignatavičiūtė 1 Turinys 1. Tiriamųjų tam tikrų alkoholinių gėrimų vartojimo dažnio vertinimas...

Detaliau

PipeLife Stilla (LT)

PipeLife Stilla (LT) Pipelife Stilla Triukšmą slopinanti nuotekų sistema PPHT UAB Pipelife Lietuva yra koncerno Pipelife International GmbH dukterinė įmonė. Pipelife International GmbH koncerną įkūrė ir valdo dvi įmonės Wieneberger

Detaliau

(Microsoft Word - Pasiruo\360imas EE 10 KD-1)

(Microsoft Word - Pasiruo\360imas EE 10  KD-1) -as kontrolinis darbas (KD-) Kompleksiniai skaičiai. Algebrinė kompleksinio skaičiaus forma Pagrindinės sąvokos apibrėžimai. Veiksmai su kompleksinio skaičiais. 2. Kompleksinio skaičiaus geometrinis vaizdavimas.

Detaliau

Neiškiliojo optimizavimo algoritmas su nauju bikriteriniu potencialiųjų simpleksų išrinkimu naudojant Lipšico konstantos įvertį

Neiškiliojo optimizavimo  algoritmas su nauju bikriteriniu potencialiųjų simpleksų išrinkimu naudojant Lipšico konstantos įvertį Neiškiliojo optimizavimo algoritmas su nauju bikriteriniu potencialiųjų simpleksų išrinkimu naudojant Lipšico konstantos įvertį. Albertas Gimbutas 2018 m. birželio 19 d. Vadovas: Prof. habil. dr. Antanas

Detaliau

Lietuvos mobiliojo ryšio operatorių 30Mbit/s zonų skaičiavimo metodika

Lietuvos mobiliojo ryšio operatorių 30Mbit/s zonų skaičiavimo metodika MOBILIOJO RYŠIO OPERATORIŲ 30 MB/S APRĖPTIES SKAIČIAVIMAI RRT atliktos analizės rezultatų viešas aptarimas, Susisiekimo ministerija 2015 10 19 Lietuvos respublikos ryšių reguliavimo tarnyba Direktoriaus

Detaliau

2.3. FUNKCIJOS TOLYDUMAS 3.1. Pavyzdys. Nagrinėkime funkciją y = x, x > 0, taško x = 1 aplinkoje. Pradžiai pakeiskime kintamuosius x= 1+ h. Gausime fu

2.3. FUNKCIJOS TOLYDUMAS 3.1. Pavyzdys. Nagrinėkime funkciją y = x, x > 0, taško x = 1 aplinkoje. Pradžiai pakeiskime kintamuosius x= 1+ h. Gausime fu .3. FUNKCIJOS TOLYDUMAS 3.. Pvyzdys. Ngriėime fuciją y =, > 0, tšo = plioje. Prdžii peisime itmuosius = + h. Gusime fuciją y = + h, h>. Iešoime toios pirmojo lipsio fucijos y = + h, uri būtų didesė už

Detaliau

LIETUVOS JAUNŲJŲ MATEMATIKŲ MOKYKLA 7. PAPRASČIAUSIOS DIFERENCIALINĖS LYGTYS ( ) Teorinę medžiagą parengė ir septintąją užduotį sudarė prof. d

LIETUVOS JAUNŲJŲ MATEMATIKŲ MOKYKLA 7. PAPRASČIAUSIOS DIFERENCIALINĖS LYGTYS ( ) Teorinę medžiagą parengė ir septintąją užduotį sudarė prof. d LIETUVOS JAUNŲJŲ MATEMATIKŲ MOKYKLA 7 PAPRASČIAUSIOS DIFERENIALINĖS LYGTYS (07 09) Teorinę medžiagą parengė ir septintąją užduotį sudarė prof dr Eugenijus Stankus Diferencialinės lygtys taikomos sprendžiant

Detaliau

VISŲ TIPŲ IR GAMINTOJŲ MEMBRANINIAI DUJŲ SKAITIKLIAI 1. Skaitiklių savybės. Visų tipų ir gamintojų membraniniai dujų skaitikliai indikuoja vieną rodme

VISŲ TIPŲ IR GAMINTOJŲ MEMBRANINIAI DUJŲ SKAITIKLIAI 1. Skaitiklių savybės. Visų tipų ir gamintojų membraniniai dujų skaitikliai indikuoja vieną rodme VISŲ TIPŲ IR GAMINTOJŲ MEMBRANINIAI DUJŲ SKAITIKLIAI 1. Skaitiklių savybės. Visų tipų ir gamintojų membraniniai dujų skaitikliai indikuoja vieną rodmenį. Jeigu įrengtas tik membraninis dujų skaitiklis,

Detaliau

Techninis aprašymas RLV-KDV H tipo vožtuvas radiatoriams su integruotais termostatiniais vožtuvais užblokuojamas, su išleidimo galimybe ir integruotu

Techninis aprašymas RLV-KDV H tipo vožtuvas radiatoriams su integruotais termostatiniais vožtuvais užblokuojamas, su išleidimo galimybe ir integruotu H tipo vožtuvas radiatoriams su integruotais termostatiniais vožtuvais užblokuojamas, su išleidimo galimybe ir integruotu Taikymas Vožtuve yra integruotas slėgio perkryčio reguliatorius, užtikrinantis

Detaliau

DBVS realizavimas Pagrindiniai DBVS komponentai Duomenų saugojimas diske Paruošė J.Skučas

DBVS realizavimas Pagrindiniai DBVS komponentai Duomenų saugojimas diske Paruošė J.Skučas DBVS realizavimas Pagrindiniai DBVS komponentai Duomenų saugojimas diske Paruošė J.Skučas Seminaro tikslai Trumpai apžvelgti pagrindinius DBVS komponentus Detaliai nagrinėjami optimalaus duomenų dėstymo

Detaliau

Register your product and get support at Indoor wireless headphones SHC8535 SHC8575 LT Vartotojo vadovas

Register your product and get support at   Indoor wireless headphones SHC8535 SHC8575 LT Vartotojo vadovas Register your product and get support at www.philips.com/welcome Indoor wireless headphones SHC8535 SHC8575 LT Vartotojo vadovas SHC8535 SHC8535 A a b B a c d b e f c C D E F a G b H I 1 Kas yra rinkinyje

Detaliau

SKENAVIMO KOMPIUTERINIU TOMOGRAFU PROTOKOLAS

SKENAVIMO KOMPIUTERINIU TOMOGRAFU PROTOKOLAS SKENAVIMO KOMPIUTERINIU TOMOGRAFU PROTOKOLAS TURINYS KLUBO SĄNARIO 3D REKONSTRUKCIJA... 3 DUBENKAULIO 3D REKONSTRUKCIJA... 4 KELIO SĄNARIO 3D REKONSTRUKCIJA... 5 PETIES SĄNARIO 3D REKONSTRUKCIJA... 6 KAUKOLĖS

Detaliau

MATEMATIKOS BRANDOS EGZAMINO PROGRAMOS MINIMALIUS REIKALAVIMUS ILIUSTRUOJANTYS PAVYZDŽIAI Egzamino programos minimalūs reikalavimai 1.3. Paprastais at

MATEMATIKOS BRANDOS EGZAMINO PROGRAMOS MINIMALIUS REIKALAVIMUS ILIUSTRUOJANTYS PAVYZDŽIAI Egzamino programos minimalūs reikalavimai 1.3. Paprastais at MTEMTIKS BRNDS EGZMIN PRGRMS MINIMLIUS REIKLVIMUS ILIUSTRUJNTYS PVYZDŽII Egzamino programos minimalūs reikalavimai.. Paprastais atvejais patikrinti, ar duotoji seka ra aritmetinė/geometrinė progresija.

Detaliau

DĖL APLINKOS IR SVEIKATOS MOKSLO KOMITETO ĮSTEIGIMO

DĖL APLINKOS IR SVEIKATOS MOKSLO KOMITETO ĮSTEIGIMO LIETUVOS RESPUBLIKOS SVEIKATOS APSAUGOS MINISTRAS ĮSAKYMAS DĖL LIETUVOS RESPUBLIKOS SVEIKATOS APSAUGOS MINISTRO 011 M. KOVO D. ĮSAKYMO NR. V-199 DĖL LIETUVOS HIGIENOS NORMOS HN 80:011 ELEKTROMAGNETINIS

Detaliau

PowerPoint Presentation

PowerPoint Presentation Skaitmeninės kabelinės televizijos galimybės Mindaugas Žilinskas Ryšių reguliavimo tarnybos Radijo ryšio departamento direktorius Vilnius, 2012 m. 1 Turinys 1. Raiškiosios (HD) televizijos standartai ir

Detaliau

X310.book(X310_lt.fm)

X310.book(X310_lt.fm) Leica DISTO TM X30 The original laser distance meter Turinys Prietaiso paruošimas darbui - - - - - - - - - - - - - - - - Įvadas- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

Detaliau

CIVILINĖS AVIACIJOS ADMINISTRACIJOS DIREKTORIUS Į S A K Y M A S DĖL MĖGĖJIŠKOS KONSTRUKCIJOS ORLAIVIŲ GAMYBOS, JŲ TINKAMUMO SKRAIDYTI NUSTATYMO IR NAU

CIVILINĖS AVIACIJOS ADMINISTRACIJOS DIREKTORIUS Į S A K Y M A S DĖL MĖGĖJIŠKOS KONSTRUKCIJOS ORLAIVIŲ GAMYBOS, JŲ TINKAMUMO SKRAIDYTI NUSTATYMO IR NAU CIVILINĖS AVIACIJOS ADMINISTRACIJOS DIREKTORIUS Į S A K Y M A S DĖL MĖGĖJIŠKOS KONSTRUKCIJOS ORLAIVIŲ GAMYBOS, JŲ TINKAMUMO SKRAIDYTI NUSTATYMO IR NAUDOJIMO TAISYKLIŲ 2001 m. gruodžio 27 d. Nr. 109 Vilnius

Detaliau

Šypsokitės lyjant lietui Stoglatakių ir lietvamzdžių sistema Galeco PVC

Šypsokitės lyjant lietui Stoglatakių ir lietvamzdžių sistema Galeco PVC Šypsokitės lyjant lietui Stoglatakių ir lietvamzdžių sistema Galeco PVC www.galeco.info Stoglatakių ir lietvamzdžių sistema Galeco PVC Naujos kokybės stoglatakiai ir lietvamzdžiai, kuriems gaminti taikoma

Detaliau

Mercedes-Benz Actros MP PRIEKINIS ŽIBINTAS DB ACTROS(9/96-9/03) (BE POSŪKIO, BE HALOGENO) D.P. PRIEKINIS ŽIBINTAS DB

Mercedes-Benz Actros MP PRIEKINIS ŽIBINTAS DB ACTROS(9/96-9/03) (BE POSŪKIO, BE HALOGENO) D.P. PRIEKINIS ŽIBINTAS DB Mercedes-Benz Actros MP1 5000648 5001089 5003111 5003112 PRIEKINIS ŽIBINTAS DB ACTROS(9/96-9/03) (BE POSŪKIO, BE HALOGENO) D.P. PRIEKINIS ŽIBINTAS DB ACTROS(9/96-9/03) (BE POSŪKIO, BE HALOGENŲ) K.P. PRIEKINIS

Detaliau

Mechaninės sėjamosios EcoLine, ProfiLine ir MasterLine

Mechaninės sėjamosios EcoLine, ProfiLine ir MasterLine Mechaninės sėjamosios EcoLine, ProfiLine ir MasterLine EcoLine Lengva ir tiksli Ideali mažo ir vidutinio dydžio ūkiams, EcoLine sėjamoji gali būti naudojama tik kaip sėjamoji paskui traktorių arba kombinuojama

Detaliau

PowerPoint Presentation

PowerPoint Presentation 2007-2013 metų ES struktūrinės paramos poveikio Lietuvos miestams ir miesteliams vertinimo rezultatų pristatymas Neringa Viršilienė, ESTEP vertinimo grupės vadovė, vertinimo ekspertė Mindaugas Sereičikas,

Detaliau

Longse Wi-Fi kameros greito paleidimo instrukcija 1. Jums prireiks 1.1. Longse Wi-Fi kameros 1.2. Vaizdo stebėjimo kameros maitinimo šaltinio 1.3. UTP

Longse Wi-Fi kameros greito paleidimo instrukcija 1. Jums prireiks 1.1. Longse Wi-Fi kameros 1.2. Vaizdo stebėjimo kameros maitinimo šaltinio 1.3. UTP Longse Wi-Fi kameros greito paleidimo instrukcija 1. Jums prireiks 1.1. Longse Wi-Fi kameros 1.2. Vaizdo stebėjimo kameros maitinimo šaltinio 1.3. UTP RJ-45 interneto kabelio 1.4. Kompiuterio su prieiga

Detaliau

Dacia Logan

Dacia Logan Visiškas saugumas bet kokiomis sąlygomis Užpakaliniai atstumo jutikliai* pypsėjimu įspėja vairuotoją apie artėjančias kliūtis. Norint dar didesnio saugumo ir patogumo galima naudoti kartu su atbulinės

Detaliau

17 - Techniniai reikalavimai breziniuose.doc

17 - Techniniai reikalavimai breziniuose.doc 17. 17.1. Techniniai reikalavimai daro rėžiniuose Laisvų matmenų (matmenų, kurių nuokrypiai nenurodyti) ir nenurodyti padėties ei formos nuokrypiai turi atitikti nuokrypių klases, nusakomas ISO 2768 ir

Detaliau

ISSN PSICHOLOGIJA Minimalaus priimtino ir maksimalaus galimo rezultatų įtaka derybų dalyvio sėkmės vertinimams Vaclovas Martišius

ISSN PSICHOLOGIJA Minimalaus priimtino ir maksimalaus galimo rezultatų įtaka derybų dalyvio sėkmės vertinimams Vaclovas Martišius ISSN 1392-0359. PSICHOLOGIJA. 1998. 18 Minimalaus priimtino ir maksimalaus galimo rezultatų įtaka derybų dalyvio sėkmės vertinimams Vaclovas Martišius Vytauto Didžiojo universiteto Psichologijos katedros

Detaliau

Mokinių kūrybinių darbų vertinimo kriterijai, vertinimo aptarimas

Mokinių kūrybinių darbų vertinimo kriterijai, vertinimo aptarimas Mokinių kūrybinių darbų atlikimas ir vertinimas Vilniaus Mykolo Biržiškos gimnazijos informacinių technologijų mokytoja Rima Šiaulienė IT PUPP kūrybinio darbo išbandymas 2012-2013 m.m. IT PUPP kūrybinių

Detaliau

G E O M E T R I J A Gediminas STEPANAUSKAS Turinys 1 TIES ES IR PLOK TUMOS Plok²tumos ir tieses plok²tumoje normalines lygtys

G E O M E T R I J A Gediminas STEPANAUSKAS Turinys 1 TIES ES IR PLOK TUMOS Plok²tumos ir tieses plok²tumoje normalines lygtys G E O M E T R I J A Gediminas STEPANAUSKAS 016 09 1 Turinys 1 TIES ES IR PLOK TUMOS 11 Plok²tumos ir tieses plok²tumoje normalines lygtys 111 Vektorine forma 11 Koordinatine forma 3 1 Bendroji plok²tumos

Detaliau

Microsoft PowerPoint Dvi svarbios ribos [Read-Only]

Microsoft PowerPoint Dvi svarbios ribos [Read-Only] Dvi svarbios ribos Nykstamųjų funkcijų palyginimas. Ekvivalenčios nykstamosios funkcijos. Funkcijos tolydumo taške apibrėžimas. Tolydžiųjų funkcijų atkarpoje savybės. Trūkiosios funkcijos. Trūko taškų

Detaliau

AAA.AIEPI.Mokymu_medziaga_MOK_VI_07.Vandens_inventorizacijos_duomenu_tvarkymas.v.0.4

AAA.AIEPI.Mokymu_medziaga_MOK_VI_07.Vandens_inventorizacijos_duomenu_tvarkymas.v.0.4 Informacinės sistemos eksploatacinė dokumentacija AIVIKS MOKYMO MEDŽIAGA 07. Vandens inventorizacijos duomenų tvarkymas Aplinkos apsaugos agentūra Aplinkosauginės informacijos elektroninių paslaugų išvystymas

Detaliau

SUDERINTA

SUDERINTA UAB ŠILUTĖS ŠILUMOS TINKLAI Verslo g.12, LT-99116 Šilutė Tel. 8 441 62144, E.paštas: info @silutesst.lt T E C H N I N Ė U Ž D U O T I S N r. 18-05 JUKNAIČIŲ KATILINĖS SUSIDĖVĖJUSIŲ KATILŲ KEITIMAS IŠDUOTA:

Detaliau

ATV/UTV GERVĖ INSTRUKCIJA LDH3000lbs LDF3000lbs

ATV/UTV GERVĖ INSTRUKCIJA LDH3000lbs LDF3000lbs ATV/UTV GERVĖ INSTRUKCIJA LDH3000lbs LDF3000lbs Skaitydami šias instrukcijas, pamatysite Įspėjimus ir atsargumo reikalavimus. Kiekvienas pranešimas turi konkretų tikslą. Įspėjimai ir pavojaus nustatymai.

Detaliau

JABRA REVO WIRELESS Naudotojo Vadovas jabra.com/revowireless

JABRA REVO WIRELESS Naudotojo Vadovas jabra.com/revowireless Naudotojo Vadovas jabra.com/revowireless TURINYS 1. SVEIKI...3 2. APŽVALGA... 4 3. KAIP DĖVĖTI...5 3.1 AUKŠČIO REGULIAVIMAS 3.2 SULENKIAMI LANKSTAI 4. ĮKROVIMAS...7 4.1 BATERIJOS BŪSENA 5. PRIJUNGIMAS...

Detaliau

K9 WebProtection (toliau K9) programa yra nemokama asmeniniam naudojimui. Programa suderinama su Windows Vista, Windows 7, Windows 10 ir Mac operacinė

K9 WebProtection (toliau K9) programa yra nemokama asmeniniam naudojimui. Programa suderinama su Windows Vista, Windows 7, Windows 10 ir Mac operacinė K9 WebProtection (toliau K9) programa yra nemokama asmeniniam naudojimui. Programa suderinama su Windows Vista, Windows 7, Windows 10 ir Mac operacinėmis sistemomis. Programa K9 yra lengvai perprantama

Detaliau

ŠIAULIŲ MUNICIPALINĖ APLINKOS TYRIMŲ LABORATORIJA Gegužių g. 94, Šiauliai. Įmonės kodas Tel. : +370 (41) ; el.p.:

ŠIAULIŲ MUNICIPALINĖ APLINKOS TYRIMŲ LABORATORIJA Gegužių g. 94, Šiauliai. Įmonės kodas Tel. : +370 (41) ; el.p.: ŠIAULIŲ MUNICIPALINĖ APLINKOS TYRIMŲ LABORATORIJA Gegužių g. 94, 78365 Šiauliai. Įmonės kodas 145412194. Tel. : +370 (41) 51 41 44; el.p.: matl@splius.lt; www.matl.lt. ŠIAULIŲ MUNICIPALINIS PAVIRŠINIŲ

Detaliau

Vardas

Vardas GYVENIMO APRAŠYMAS BENDROJI INFORMACIJA Vardas: Romualdas Pavardė: Valkauskas Gimimo data ir 1950-11-23, Kėdainiai vieta: Mokslinis vardas, Soc. m. dr., docentas laipsnis: Pareigos: Docentas Telefonas:

Detaliau

PowerPoint Presentation

PowerPoint Presentation Programų sistemų inžinerija 2018-02-07 Saulius Ragaišis, VU MIF saulius.ragaisis@mif.vu.lt Klausytojai: Susipažinimas Išklausyti programų sistemų inžinerijos kursai Profesinė patirtis Dabar klausomi pasirenkami

Detaliau

File Transfer programinės įrangos naudotojo instrukcija

File Transfer programinės įrangos naudotojo instrukcija File Transfer programinės įrangos naudotojo instrukcija Rinkmenos dalių įkėlimas naudojantis i.saf-t FileTransfer FileTransfer programinė įranga (toliau - FileTransfer PĮ) skirta didelės apimties rinkmenos

Detaliau

LIETUVOS RESPUBLIKOS APLINKOS MINISTRO

LIETUVOS RESPUBLIKOS APLINKOS MINISTRO LIETUVOS RESPUBLIKOS APLINKOS MINISTRO Į S A K Y M A S DĖL APVALIOSIOS MEDIENOS APSKAITOS TVARKOS APRAŠO PATVIRTINIMO 2007 m. gruodžio 12 d. Nr. D1-672 Vilnius Vadovaudamasis Lietuvos Respublikos miškų

Detaliau

Kauno menų darželis Etiudas Mgr. Virginija Bielskienė, direktorės pavaduotoja ugdymui, II vad. kategorija, auklėtoja metodininkė Žaidimas pagrindinė i

Kauno menų darželis Etiudas Mgr. Virginija Bielskienė, direktorės pavaduotoja ugdymui, II vad. kategorija, auklėtoja metodininkė Žaidimas pagrindinė i Kauno menų darželis Etiudas Mgr. Virginija Bielskienė, direktorės pavaduotoja ugdymui, II vad. kategorija, auklėtoja metodininkė Žaidimas pagrindinė ikimokyklinio ir priešmokyklinio amžiaus ir jaunesnio

Detaliau

PS Testavimo ir konfigūravimo valdymas Užduotis nr. 1. Karolis Brazauskas Mindaugas Rekevičius Jonas Riliškis Eugenijus Sabaliauskas

PS Testavimo ir konfigūravimo valdymas Užduotis nr. 1. Karolis Brazauskas Mindaugas Rekevičius Jonas Riliškis Eugenijus Sabaliauskas PS Testavimo ir konfigūravimo valdymas Užduotis nr. 1. Karolis Brazauskas Mindaugas Rekevičius Jonas Riliškis Eugenijus Sabaliauskas 2014-10-01 IT Kompanija Dirbame pagal užsakymus, daugiausiai 2 projektai

Detaliau

Gerontologija 2014; 15(3): GERONTOLOGIJA Mokslinis straipsnis Kineziterapijos programos taikymo ir gyvenimo kokybės sąsajos atokiuoju periodu

Gerontologija 2014; 15(3): GERONTOLOGIJA Mokslinis straipsnis Kineziterapijos programos taikymo ir gyvenimo kokybės sąsajos atokiuoju periodu Gerontologija 2014; 15(3): 166 176 GERONTOLOGIJA Mokslinis straipsnis Kineziterapijos programos taikymo ir gyvenimo kokybės sąsajos atokiuoju periodu po galvos smegenų insulto Robertas Pečeliūnas 1,2,

Detaliau

VILNIAUS UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS PROGRAMŲ SISTEMŲ KATEDRA Atsitiktinės paieškos optimizavimo algoritmų vertinimas Evaluat

VILNIAUS UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS PROGRAMŲ SISTEMŲ KATEDRA Atsitiktinės paieškos optimizavimo algoritmų vertinimas Evaluat VILNIAUS UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS PROGRAMŲ SISTEMŲ KATEDRA Atsitiktinės paieškos optimizavimo algoritmų vertinimas Evaluation of Random Search Optimization Algorithms Magistro

Detaliau

Projektas

Projektas 1 PRIEDAS PATVIRTINTA Vytauto Didžiojo universiteto Menotyros mokslo krypties doktorantūros komiteto 2019 m. gegužės 28 d. posėdžio nutarimu Nr.1 ATVIRO KONKURSO Į MENOTYROS MOKSLO KRYPTIES DOKTORANTŪROS

Detaliau

VERSLO IR VADYBOS TECHNOLOGIJŲ PROGRAMA

VERSLO IR VADYBOS TECHNOLOGIJŲ PROGRAMA PATVIRTINTA Lietuvos Respublikos švietimo ir mokslo ministro 2007 m. rugsėjo 6 d. įsakymu Nr. ISAK-1790 VERSLO IR VADYBOS TECHNOLOGIJŲ BENDROJI PROGRAMA MOKINIAMS, BESIMOKANTIEMS PAGAL VIDURINIO UGDYMO

Detaliau

Projektas

Projektas PATVIRTINTA Kauno technologijos universiteto Lietuvos socialinių tyrimų centro Vytauto Didžiojo universiteto Sociologijos mokslo krypties doktorantūros komiteto 2019 m. gegužės 8 d. posėdžio nutarimu Nr.

Detaliau

Management of psychosocial risks in European workplaces - evidence from the second European survey of enterprises on new and emerging risks (ESENER-2)

Management of psychosocial risks in European workplaces - evidence from the second European survey of enterprises on new and emerging risks (ESENER-2) Europos darbuotojų saugos ir sveikatos agentūra Psichosocialinės rizikos valdymas Europos darbo vietose. Antrosios Europos įmonių apklausos apie naują ir kylančią riziką (ESENER-2) duomenys Europos rizikos

Detaliau

Šiame sąsiuvinyje Jūs rasite keleto dalykų užduotis bei mokinio anketą

Šiame sąsiuvinyje Jūs rasite keleto dalykų užduotis bei mokinio anketą Pagrindinės formulės Mechanika v v0 v = s/ t, a =, F = ma, F = mg, F Vg n = ρ sk, = Fs, N =, η = 100 %. t t v Šiluma m ρ =, Q = cm t, Q = λm, Q = Lm, Q = qm, η = 100 %. V Q Elektrodinamika q U l I =, I

Detaliau

Danfoss Link TM Wi-Fi Namų šildymas, valdomas nuotoliniu būdu 24/7 namų šildymo valdymas iš bet kurios vietos su Danfoss Link programėle ismanussildym

Danfoss Link TM Wi-Fi Namų šildymas, valdomas nuotoliniu būdu 24/7 namų šildymo valdymas iš bet kurios vietos su Danfoss Link programėle ismanussildym Wi-Fi Namų šildymas, valdomas nuotoliniu būdu 24/7 namų šildymo valdymas iš bet kurios vietos su Danfoss Link programėle ismanussildymas.danfoss.lt Danfoss Link Wi-Fi sistema Wi-Fi sistema leidžia: Valdyti

Detaliau

Autorinė sutartis Nr

Autorinė sutartis Nr UAB INFORMACINIŲ TECHNOLOGIJŲ PASAULIS GENERALINIS DIREKTORIUS TOMAS LEVINSKAS SAULĖS ELEKTRINĖS ĮDIEGIMO KOMERCINIS PASIŪLYMAS 2012.08.21 Kaunas UAB Informacinių technologijų pasaulis Generalinis direktorius

Detaliau

MOKINTIS SĄLYGOS GERIAUSIOS VAIRAVIMO MOKYMO PASLAUGŲ KATALOGAS PRIEMONĖS, KAD JŪSŲ ĮMONĖS DARBAS NESUSTOTŲ

MOKINTIS SĄLYGOS GERIAUSIOS VAIRAVIMO MOKYMO PASLAUGŲ KATALOGAS PRIEMONĖS, KAD JŪSŲ ĮMONĖS DARBAS NESUSTOTŲ VAIRAVIMO MOKYMO PASLAUGŲ KATALOGAS PRIEMONĖS, KAD JŪSŲ ĮMONĖS DARBAS NESUSTOTŲ 01 Informacija apie įmonės veiklą Profesinis periodinis krovinių vežėjų mokymas (EU 95) Profesinis pradinis krovinių vežėjų

Detaliau

moksliniai tyrimai Ribinio spalvinio kontrastinio jautrumo tyrimo panaudojimas spalvų juslei tirti sergant amžine geltonosios dėmės degeneracija Maxim

moksliniai tyrimai Ribinio spalvinio kontrastinio jautrumo tyrimo panaudojimas spalvų juslei tirti sergant amžine geltonosios dėmės degeneracija Maxim Ribinio spalvinio kontrastinio jautrumo tyrimo panaudojimas spalvų juslei tirti sergant amžine geltonosios dėmės degeneracija Maximum colour contrast sensitivity test using for colour discrimination in

Detaliau

Mokinių pasiekimai Vilniaus mieste. Tarptautinių ir nacionalinių tyrimų duomenys

Mokinių pasiekimai Vilniaus mieste. Tarptautinių ir nacionalinių tyrimų duomenys NACIONALINIS EGZAMINŲ CENTRAS Mokinių pasiekimai Vilniaus mieste. Tarptautinių tyrimų duomenys Dr. Rita Dukynaitė Vilnius, 2015-10-07 Esminiai akcentai iš tarptautinių tyrimų Lygmuo Lytis Socialinis, ekonominis,

Detaliau

Microsoft Word - Naudotojo gidas_aplikacijai_

Microsoft Word - Naudotojo gidas_aplikacijai_ Mokėjimų už automobilio stovėjimą, naudojantis programa m.parking išmaniuosiuose telefonuose, naudotojo gidas Puslapis 1 iš 10 Programa m.parking Vilniuje galima sumokėti vietinę rinkliavą tik už naudojimąsi

Detaliau

KROSNININKO SERTIFIKAVIMO schema

KROSNININKO SERTIFIKAVIMO schema Development of VET Training on Energy Efficient Stoves and Fireplaces ENEFFIS No. 2016-1-LT01-KA202-023161 KROSNININKO SERTIFIKAVIMO schema Parengė: VšĮ Vilniaus statybininkų rengimo centras Asociacija

Detaliau

ЦЕНОВА ЛИСТА Модел Размер Формат Цена Manual Screen Capitol HD LUMCAP150CHD CAPITOL HD 150 C

ЦЕНОВА ЛИСТА Модел Размер Формат Цена Manual Screen Capitol HD LUMCAP150CHD CAPITOL HD 150 C www.audioarte.bg www.homecinema.bg www.videoconference.bg ЦЕНОВА ЛИСТА Manual Screen Capitol HD LUMCAP150CHD CAPITOL HD 150 C MANUAL SCREEN 430 лв. LUMCAP170CHD CAPITOL HD 170 C MANUAL SCREEN 475 лв. LUMCAP200CHD

Detaliau

KPMG Screen 3:4 (2007 v4.0)

KPMG Screen 3:4 (2007 v4.0) Penktasis kasmetinis tyrimas Lietuvos verslo pažeidžiamumas energijos išteklių kainų pokyčiams ir BEVI indeksas Rokas Kasperavičius, partneris Jonas Vainius Raulynaitis, patarėjas Vilnius 2015 TURINYS

Detaliau

VALSTYBINIO SOCIALINIO DRAUDIMO FONDO VALDYBOS

VALSTYBINIO SOCIALINIO DRAUDIMO FONDO VALDYBOS VALSTYBINIO SOCIALINIO DRAUDIMO FONDO VALDYBOS PRIE SOCIALINĖS APSAUGOS IR DARBO MINISTERIJOS DIREKTORIAUS Į S A K Y M A S DĖL ELEKTRONINĖS DRAUDĖJŲ APTARNAVIMO SISTEMOS NAUDOJIMO TAISYKLIŲ PATVIRTINIMO

Detaliau

Švietimo programos priemonių aprašymas Eil. nr. Priemonė Tikslinės grupės Aprašymas Įgyvendinimo terminai ir tikslas (skaitinė reikšmė) Planas Faktas

Švietimo programos priemonių aprašymas Eil. nr. Priemonė Tikslinės grupės Aprašymas Įgyvendinimo terminai ir tikslas (skaitinė reikšmė) Planas Faktas Švietimo programos priemonių aprašymas (skaitinė reikšmė) 1. Nuolat atnaujinama informacija internetiniame puslapyje www.zaliasistaskas.lt apie pakuočių atliekų rūšiavimo svarbą. Tęstinė priemonė Organizacijos

Detaliau

Layout 1

Layout 1 Kvalifikacijos kėlimo kursų programos Pneumatika Pneumatikos pagrindai mašinų operatoriams P100 Suteikite savo mašinų operatoriams įgūdžių optimalaus darbinio slėgio nustatymui, oro pratekėjimų (nuostolių)

Detaliau

Bioness

Bioness Inovatyvus Bioness (FES) poveikis judėjimui po insulto Bioness kompetencijų centras UAB Vilniaus sveikatos namai Saulius Eidukevičius klinikinis instruktorius 2004 m. Izraelio ir JAV specialistų jungtinė

Detaliau

INSTITUCIJOS, VYKDANČIOS MOKYTOJŲ IR ŠVIETIMO PAGALBĄ TEIKIANČIŲ SPECIALISTŲ KVALIFIKACIJOS TOBULINIMĄ, 2013 METŲ VEIKLOS ĮSIVERTINIMO IŠVADOS 1. Inst

INSTITUCIJOS, VYKDANČIOS MOKYTOJŲ IR ŠVIETIMO PAGALBĄ TEIKIANČIŲ SPECIALISTŲ KVALIFIKACIJOS TOBULINIMĄ, 2013 METŲ VEIKLOS ĮSIVERTINIMO IŠVADOS 1. Inst INSTITUCIJOS, VYKDANČIOS MOKYTOJŲ IR ŠVIETIMO PAGALBĄ TEIKIANČIŲ SPECIALISTŲ KVALIFIKACIJOS TOBULINIMĄ, 2013 METŲ VEIKLOS ĮSIVERTINIMO IŠVADOS 1. Institucijos pavadinimas Kretingos rajono pedagogų švietimo

Detaliau

LIETUVOS ŽEMĖS ŪKIO UNIVERSITETAS

LIETUVOS ŽEMĖS ŪKIO UNIVERSITETAS ALEKSANDRO STULGINSKIO UNIVERSITETAS Agronomijos fakultetas Žemdirbystės katedra STUDIJŲ DALYKO APRAŠAS Dalyko kodas: AFŽEB07E Pavadinimas lietuvių kalba: Mokslinių tyrimų metodika Pavadinimas anglų kalba:

Detaliau

Rekomendacijos vietinės reikšmės kelių su žvyro danga taisymui

Rekomendacijos vietinės reikšmės kelių su žvyro danga taisymui Rekomendacijos vietinės reikšmės kelių su žvyro danga taisymui LAKD TNT skyriaus vedėjas Evaldas Petrikas Reglamentavimas Automobilių kelių standartizuotų dangų konstrukcijų projektavimo taisyklės KPT

Detaliau

MUITINĖS DEPARTAMENTAS PRIE LIETUVOS RESPUBLIKOS FINANSŲ MINISTERIJOS BENDRO NAUDOTOJŲ VALDYMO SISTEMOS, ATITINKANČIOS EUROPOS KOMISIJOS REIKALAVIMUS,

MUITINĖS DEPARTAMENTAS PRIE LIETUVOS RESPUBLIKOS FINANSŲ MINISTERIJOS BENDRO NAUDOTOJŲ VALDYMO SISTEMOS, ATITINKANČIOS EUROPOS KOMISIJOS REIKALAVIMUS, MUITINĖS DEPARTAMENTAS PRIE LIETUVOS RESPUBLIKOS FINANSŲ MINISTERIJOS BENDRO NAUDOTOJŲ VALDYMO SISTEMOS, ATITINKANČIOS EUROPOS KOMISIJOS REIKALAVIMUS, SUKŪRIMO VERSIJA: v0.10 Vilnius 2018 TURINYS 1 Windows

Detaliau

LTOK Lyciu lygybe sporte

LTOK Lyciu lygybe sporte Komunikacijos analizė Lyčių lygybė sporte Analizės apžvalga Tikslas Įvertinti internete publikuotos informacijos apie Lietuvos olimpinės rinktinės kandidatus turinį atsižvelgiant į sportininkų lytį. Taip

Detaliau

Projektas

Projektas 1 priedas PATVIRTINTA Vytauto Didžiojo universiteto su Mykolo Romerio universitetu, Aleksandro Stulginskio universitetu, Klaipėdos universitetu, Šiaulių universitetu Vadybos mokslo krypties doktorantūros

Detaliau

10 Pratybos Oleg Lukašonok 1

10 Pratybos Oleg Lukašonok 1 10 Pratybos Oleg Lukašonok 1 2 Tikimybių pratybos 1 Lema Lema 1. Tegul {Ω, A, P} yra tikimybinė erdvė. Jeigu A n A, n N, tai i) P (lim sup A n ) = P ( k=1 n=k A n ) = lim P ( n k n=ka n ), nes n=ka n monotoniškai

Detaliau

Microsoft Word - 15_paskaita.doc

Microsoft Word - 15_paskaita.doc 15 PASKAITA Turinys: Išimtys Išimtys (exceptions) programos vykdymo metu kylančios klaidingos situacijos, nutraukiančios programos darbą (pavyzdžiui, dalyba iš nulio, klaida atveriant duomenų failą, indekso

Detaliau

Atestato Nr. Projektavimo stadija Komplekso Nr. Statinys PROJEKTINIAI PASIŪLYMAI, (PP) ACON-2018-MN KULTŪROS IR VERSLO CENTRAS JUOZAPAVIČIAUS IR RINKT

Atestato Nr. Projektavimo stadija Komplekso Nr. Statinys PROJEKTINIAI PASIŪLYMAI, (PP) ACON-2018-MN KULTŪROS IR VERSLO CENTRAS JUOZAPAVIČIAUS IR RINKT Atestato Nr. Projektavimo stadija Komplekso Nr. Statinys PROJEKTINIAI PASIŪLYMAI, (PP) ACON28MN YPATINGAS STATINYS. Statytojas VŠĮ Maskvos kultūros ir verslo centras Maskvos namai Vilniuje", įm.k. 36439

Detaliau

Printing AtvirkstineMatrica.wxmx

Printing AtvirkstineMatrica.wxmx AtvirkstineMatrica.wxmx / Atvirkštinė matrica A.Domarkas, VU, Teoriją žr. [], 8-; []. Figure : Toliau pateiksime atvirkštinės matricos apskaičiavimo būdus su CAS Maxima. su komanda invert pavyzdys. [],

Detaliau

Ritinių vyniotuvai G5010 G5012 G5020 Inliner

Ritinių vyniotuvai G5010 G5012 G5020 Inliner Ritinių vyniotuvai G5010 G5012 G5020 Inliner GÖWEIL / G50 Serija / 02-03 psl. GÖWEIL Maschinenbau GmbH Davidschlag 11 / 4202 Kirchschlag / Austrija Tel: +43 (0)7215 2131-0 / Fax: +43 (0)7215 2131-9 office@goeweil.com

Detaliau

PowerPoint Presentation

PowerPoint Presentation SG Dujos Kelias pirmyn 29TH SEPTEMBER 2014, EU DIRECTIVE ON THE DEPLOYMENT OF AN ALTERNATIVE FUELS INFRASTRUCTURE SETS THE RULES FOR ENSURING MINIMUM COVERAGE OF REFUELING POINTS FOR ALTERNATIVE FUELS

Detaliau

PATVIRTINTA Valstybinės kainų ir energetikos kontrolės komisijos pirmininko 2017 m. d. įsakymu Nr. O1- VALSTYBINĖS KAINŲ IR ENERGETIKOS KONTROLĖS KOMI

PATVIRTINTA Valstybinės kainų ir energetikos kontrolės komisijos pirmininko 2017 m. d. įsakymu Nr. O1- VALSTYBINĖS KAINŲ IR ENERGETIKOS KONTROLĖS KOMI PATVIRTINTA Valstybinės kainų ir energetikos kontrolės komisijos pirmininko 2017 m. d. įsakymu Nr. O1- VALSTYBINĖS KAINŲ IR ENERGETIKOS KONTROLĖS KOMISIJOS ELEKTROS ENERGIJOS KAINŲ PALYGINIMO INFORMACINĖS

Detaliau

Kauno Veršvų vidurinės mokyklos įsivertinimo ataskaita 2015 m. Kauno Veršvų vidurinės mokyklos giluminiam vertinimui pasirinkti rodikliai m.

Kauno Veršvų vidurinės mokyklos įsivertinimo ataskaita 2015 m. Kauno Veršvų vidurinės mokyklos giluminiam vertinimui pasirinkti rodikliai m. Kauno Veršvų vidurinės mokyklos įsivertinimo ataskaita 2015 m. Kauno Veršvų vidurinės mokyklos giluminiam vertinimui pasirinkti rodikliai 2014-2015 m. m. Pasirinkti šie veiklos rodikliai Atsakingi KVA

Detaliau

Individualus projektas Programa TE-PM, TE-PS, TE-SL, TEstream 4, TEstream 6, TEstream 8, TEstreamOBD 4, TEstreamOBD 6, TEstreamOBD 8 sistemų naudotoja

Individualus projektas Programa TE-PM, TE-PS, TE-SL, TEstream 4, TEstream 6, TEstream 8, TEstreamOBD 4, TEstreamOBD 6, TEstreamOBD 8 sistemų naudotoja Individualus projektas Programa TE-PM, TE-PS, TE-SL, TEstream 4, TEstream 6, TEstream 8, TEstreamOBD 4, TEstreamOBD 6, TEstreamOBD 8 sistemų naudotojams Alternatyvus valdymo pultas telefone ViPGaS programos

Detaliau